?? 偶數(shù)倍頻.txt
字號:
--偶數(shù)倍 分頻電路
--分頻倍數(shù)=2(n+1)
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
entity test1 is
port
(
clkin:in std_logic;
clkout:out std_logic
);
end test1;
architecture test_a of test1 is
constant n: integer:=3;---------------------
signal count: integer range 0 to n;
signal clk: std_logic;
begin
process(clkin)
begin
if rising_edge(clkin)then
if(count=n)then
count<=0;
clk<=not clk;
else
count<=count+1;
end if;
end if;
end process;
clkout<=clk;
end;
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -