亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來(lái)到蟲(chóng)蟲(chóng)下載站! | ?? 資源下載 ?? 資源專(zhuān)輯 ?? 關(guān)于我們
? 蟲(chóng)蟲(chóng)下載站

?? synth_test.vhd

?? 實(shí)現(xiàn)fft的ip核
?? VHD
字號(hào):
--TESTBENCH FOR THE ENTIRE PROCESSOR. FOR SYNTHESIS THIS FILE WITHOUT 
-- THE STIMULUS PART IS GIVEN AS THE TOP LEVEL ENTITY.
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.std_logic_arith.all ;
use work.butter_lib.all ;
use ieee.std_logic_unsigned.all ;

entity synth_main is
port (
      data_io : in std_logic_vector(31 downto 0);
      final_op : out std_logic_vector(31 downto 0) ;
      clock_main,clock,enbl,reset,init : in std_logic) ;     
end synth_main ;

architecture rtl of synth_main is 
signal shft , waves : std_logic_vector(3 downto 0) ;

component subtractor 
  port ( 
       a : in std_logic_vector (31 downto 0) ;
       b : in std_logic_vector (31 downto 0) ;
       clock , rst_sub , sub_en : in std_logic ;
       a_smaller , fin_sub , num_zero : out std_logic ;
       zero_detect : out std_logic_vector(1 downto 0) ;
       sub : out std_logic_vector (8 downto 0);
       change : out std_logic ) ;
end component ;

component swap
 port (
       a : in std_logic_vector (31 downto 0) ;
       b : in std_logic_vector (31 downto 0) ;
       clock : in std_logic ;
       rst_swap , en_swap : in std_logic ;
       finish_swap : out std_logic ;
       d : out std_logic_vector (31 downto 0) ;
       large_exp : out std_logic_vector (7 downto 0) ;
       c  : out std_logic_vector (32 downto 0 ) ) ;
end component ;

 
component shift2
 port (
       sub_control : in std_logic_vector (8 downto 0) ;	
       c_in  : in std_logic_vector (32 downto 0) ;
       shift_out : out std_logic_vector (31 downto 0) ;
       clock , shift_en , rst_shift : in std_logic ;
       finish_out : out std_logic ) ;
end component ;

component control_main
 port ( 
       a_small , sign_a , sign_b : in std_logic ;
       sign_out , add_sub , reset_all : out std_logic ;
       en_sub , en_swap , en_shift , addpulse , normalise : out std_logic ;
       fin_sub , fin_swap , finish_shift , add_finish , end_all : in std_logic ;
       clock_main , clock , reset , enbl , zero_num , change : in std_logic ) ;
end component ;

component summer 
 port ( 
       num1 , num2 : in std_logic_vector (31 downto 0) ;
       exp : in std_logic_vector (7 downto 0) ;
       addpulse_in , addsub , rst_sum : in std_logic ;
       add_finish : out std_logic ;
       sumout : out std_logic_vector ( 32 downto 0) ) ;
end component ;

component normalize
 port ( 
      a , b : in std_logic_vector (31 downto 0) ;
      numb : in std_logic_vector (32 downto 0) ;
      exp : in std_logic_vector (7 downto 0) ;
      signbit , addsub , clock , en_norm , rst_norm  : in std_logic  ;
      zero_detect : in std_logic_vector(1 downto 0) ;
      exit_n : out std_logic ;
      normal_sum : out std_logic_vector (31 downto 0) ) ;
end component ;

component but_gen
port (
      add_incr , add_clear , stagedone : in std_logic ;
      but_butterfly : out std_logic_vector(3 downto 0) ) ;
end component ;

component stage_gen 
port (
      add_staged , add_clear : in std_logic ;
      st_stage : out std_logic_vector(1 downto 0) ) ;  
end component ;

component iod_staged is
port (
      but_fly : in std_logic_vector(3 downto 0) ;
      stage_no : in std_logic_vector(1 downto 0) ;
      add_incr , io_mode  : in std_logic ;
      add_iod , add_staged , add_fftd : out std_logic ; 
      butterfly_iod : out std_logic_vector(3 downto 0) ) ;
end component ;

component baseindex
port (
      ind_butterfly : in std_logic_vector(3 downto 0) ;
      ind_stage : in std_logic_vector(1 downto 0) ;
      add_fft : in std_logic ;
      fftadd_rd : out std_logic_vector(3 downto 0) ;
      c0 , c1 , c2 , c3 : in std_logic ) ; 
end component ;

component ioadd_gen
port (
      io_butterfly : in std_logic_vector(3 downto 0) ;
      add_iomode , add_ip , add_op : in std_logic ;
      base_ioadd : out std_logic_vector(3 downto 0) ) ;
end component ;

component mux_add 
port (
      a , b : in std_logic_vector(3 downto 0) ;
      sel : in std_logic ;
      q : out std_logic_vector(3 downto 0) ) ;
end component ;

component ram_shift
port (
      data_in : in std_logic_vector(3 downto 0) ;
      clock_main : in std_logic ;
      data_out : out std_logic_vector(3 downto 0) ) ;
end component ;

component cycles
port (
      clock_main , preset , c0_en , cycles_clear : in std_logic ;
      waves : out std_logic_vector(3 downto 0) ) ;
end component ;

component counter 
port (
      c : out std_logic_vector(2 downto 0) ;
      disable , clock_main , reset : in std_logic) ;
end component ;


component mult_clock
port (
      clock_main , mult1_c0 , mult1_iomode , mult_clear : in std_logic ;
      mult1_addincr : out std_logic ) ;
end component ;

component cont_gen 
port (
      con_staged , con_iod , con_fftd , con_init : in std_logic ;
      con_ip , con_op , con_iomode , con_fft : out std_logic ;
      con_enbw , con_enbor , c0_enable , con_preset : out std_logic ;
      con_clear , disable : out std_logic ;
      c0 , clock_main : in std_logic ;
      en_rom , en_romgen , reset_counter : out std_logic ; 
      con_clkcount : in std_logic_vector(2 downto 0) ) ;
end component ;

component and_gates 
port (
      waves_and : in std_logic_vector(3 downto 0) ;
      clock_main , c0_en : in std_logic ;
      c0,c1,c2,c3 : out std_logic ;
      c0_c1,c2_c3,c0_c2,c1_c3 : out std_logic ) ;
end component ;

component r_block
port (
       data : in std_logic_vector(31 downto 0) ;
       trigger : in std_logic ;
       r_out : out std_logic_vector(31 downto 0) ) ;
end component ;

component l_block
port (
       data_l : in std_logic_vector(31 downto 0) ;
       trigger_l : in std_logic ;
       l_out : out std_logic_vector(31 downto 0) ) ;
end component ;

component level_edge  
 port (
       data_edge : in std_logic_vector(31 downto 0) ;
       trigger_edge : in std_logic ;
       edge_out : out std_logic_vector(31 downto 0) ) ;
end component ;

component mux 
port (
       d0 , d1 : in std_logic_vector(31 downto 0) ;
       mux_out : out std_logic_vector(31 downto 0) ;
       choose : in std_logic ) ;
end component ;

component negate 
port (
       neg_in : in std_logic_vector(31 downto 0) ;
       neg_en , clock_main : in std_logic ;
       neg_out : out std_logic_vector(31 downto 0) ) ;
end component ;

component multiply
port(
      num_mux , num_rom : in std_logic_vector(31 downto 0) ;
      clock  : in std_logic ;
      mult_out : out std_logic_vector(31 downto 0) ) ;
end component ;

component divide
port (
       data_in : in std_logic_vector(31 downto 0) ;
       data_out : out std_logic_vector(31 downto 0) ) ;
end component ;

component romadd_gen is
port (
      io_rom,c0,c1,c2,c3 : in std_logic ;
      stage_rom : in std_logic_vector(1 downto 0) ;
      butterfly_rom : in std_logic_vector(3 downto 0) ;
      romadd : out std_logic_vector(2 downto 0) ;
      romgen_en : in std_logic );
end component ;

component reg_dpram is
port (
      data_fft , data_io : in std_logic_vector (31 downto 0);
      q : out std_logic_vector (31 downto 0);
      clock , io_mode : in std_logic;
      we , re : in std_logic;
      waddress: in std_logic_vector (3 downto 0);
      raddress: in std_logic_vector (3 downto 0));
end component ;

component rom is
port (
      clock , en_rom : in std_logic ;
      romadd : in std_logic_vector(2 downto 0) ;
      rom_data : out std_logic_vector(31 downto 0) ) ;
end component ;

component print_result is
port (clock,op : in std_logic ;
      fin_res : out std_logic_vector(31 downto 0) ;
      result : in std_logic_vector(31 downto 0));
end component ;

begin

result : print_result port map (clock_main,op,final_op,ram_data) ;
but : but_gen port map (incr , clear , staged ,butterfly_iod) ;
stg : stage_gen port map (staged , clear , stage) ;
iod_stgd : iod_staged port map(butterfly_iod,stage,incr,io_mode,iod,staged,fftd,butterfly) ; 
base : baseindex port map (butterfly , stage , fft_en , fftadd_rd , c0 , c1 , c2 , c3) ;
ioadd : ioadd_gen port map (butterfly , io_mode , ip , op , io_add) ;
ram_shift1 : ram_shift port map (fftadd_rd , clock_main , shift1) ;
ram_shift2 : ram_shift port map (shift1 , clock_main , shft) ;
ram_shift3 : ram_shift port map (shft , clock_main , shift3) ;
ram_shift4 : ram_shift port map (shift3 , clock_main ,shift4) ;
ram_shift5 : ram_shift port map (shift4 , clock_main , shift5) ;
--ram_shift6 : ram_shift port map (shift5 , clock_main , shift6) ;
multx1 : mux_add port map (shift5 , io_add , io_mode , ram_wr) ;
multx2 : mux_add port map (fftadd_rd , io_add , io_mode , ram_rd) ;
cyc : cycles port map (clock_main , preset , c0_en , cyc_clear , waves) ;
gates : and_gates port map(waves,clock_main,c0_en,c0,c1,c2,c3,c0_c1,c2_c3,c0_c2,c1_c3) ;
cnt : counter port map (clk_count , disable , clock_main , reset_count) ; 
mux_clock : mult_clock port map (clock_main , c0 , io_mode , clear , incr) ;
control : cont_gen port map (staged , iod , fftd , init , ip , op , io_mode , fft_en ,
enbw , enbor , c0_en , preset , clear , disable , c0 , clock_main ,rom_en,romgen_en,reset_count,clk_count) ;

reg_ram : reg_dpram port map (out_data,data_io,ram_data,clock_main,io_mode,enbw,enbor,ram_wr,ram_rd) ;

f1 : r_block port map (ram_data , c0 , d2) ;
f2 : l_block port map (ram_data , c1 , d3) ;
f3 : r_block port map (ram_data , c2 , d4) ;
f4 : r_block port map (ram_data , c3 , d5) ;
f5 : r_block port map (d8 , c1_c3 , d9) ;
f6 : l_block port map (d8 , c0_c2 , d10) ;
f7 : l_block port map (d12 , c3 , d13) ;
f8 : l_block port map (d12 , c1 , d14) ;
f9 : r_block port map (d17 , clock_main , d18) ;
f10 : r_block port map (data_rom , clock_main , rom_ff) ;
mux1 : mux port map (d2 , d3 , d6 , c2_c3) ;
mux2 : mux port map (d4 , d5 , d7 , c1_c3) ;
mux3 : mux port map (d13 , d14 , d15 , c1_c3) ;
neg1 : negate port map (d10 , c0_c1 ,clock_main , d11) ;
neg2 : negate port map (d15 , c0_c1 ,clock_main , d16) ;
mult1 : multiply port map (d6 , rom_ff , clock_main , d8) ;
div : divide port map (d18 , d19) ;
f11 : level_edge port map (d19,clock_main,out_data) ;

rom_add1 : romadd_gen port map (io_mode,c0,c1,c2,c3,stage,butterfly,rom_add,romgen_en) ;
rom1 : rom port map (clock ,rom_en,rom_add,data_rom) ;

b11 : subtractor port map ( d16 , d7 , clock , rstb , ensubb , a_smallb , finsubb , numzerob , zerodetectb , subb ,  changeb) ;
b2 : swap port map ( a=>d16 , b=>d7 , clock=>clock , rst_swap=>rstb , en_swap=>enswapb , finish_swap=>finswapb , d=>swap_num2b , large_exp=>expb , c=>swap_num1b ) ;
b4 : shift2 port map (sub_control=>subb , c_in=>swap_num1b , shift_out=>shift_outb , clock=>clock , shift_en=>enshiftb,
rst_shift=>rstb , finish_out=>finshiftb ) ;
b5 : control_main port map ( a_smallb , d16(31) , d7(31) , signbitb , addsubb , rstb , ensubb , 
enswapb , enshiftb , addpulseb , normaliseb , finsubb , finswapb , finshiftb ,finish_sumb , end_allb , 
clock_main , clock , reset , enbl , numzerob , changeb ) ;
b6 : summer port map ( shift_outb , swap_num2b , expb , addpulseb , addsubb , rstb , finish_sumb , sum_outb ) ;
b7 : normalize port map (d16 , d7 , sum_outb , expb , signbitb , addsubb , clock , normaliseb , rstb , zerodetectb , end_allb , d17) ;

a1 : subtractor port map ( d9 ,  d11 , clock , rst , ensub , a_small , finsub , numzero , zerodetect , suba , changea) ;
a2 : swap port map (d9 ,d11 ,clock ,rst ,enswap , finswap ,swap_num2 , exp , swap_num1 ) ;
a4 : shift2 port map (suba ,swap_num1 ,shift_outa ,clock , enshift , rst , finshift ) ;
a5 : control_main port map ( a_small , d9(31) , d11(31) , signbit , addsub , rst , ensub , 
enswap , enshift , addpulse , normalise , finsub , finswap , finshift ,finish_sum , end_all , 
clock_main , clock , reset , enbl , numzero , changea ) ;
a6 : summer port map ( shift_outa , swap_num2 , exp , addpulse , addsub , rst , finish_sum , sum_out ) ;
a7 : normalize port map (d9 , d11 , sum_out , exp , signbit , addsub , clock , normalise , rst , zerodetect , end_all , d12) ;

end rtl ;

process
variable i : integer := 0 ;
begin 
for i in 1 to 1000 loop 
clock <= '1' ;
wait for 5 ns ;
clock <= '0' ;
wait for 5 ns ;
end loop ;
end process ;

process
variable j : integer := 0 ;
begin 
for j in 1 to 1000 loop 
clock_main <= '1' ;
wait for 200 ns ;
clock_main <= '0' ;
wait for 200 ns ;
end loop ;
end process ;

process
file vector_file : text open read_mode is "d:\user\ray\project\vhdl_code\synth_but\rom_ram.vhd" ;
variable l , l2 : line ;
variable q , p : integer := 0 ;
variable count : integer ;
variable t_a , t_b : std_logic_vector (31 downto 0) ; 
variable space : character ;
begin 

while not endfile(vector_file) loop
--for count in 1 to 16 loop
q := 31 ;
readline(vector_file , l2) ;

for p in 1 to 32 loop -- data from RAM
read(l2 , t_b(q)) ;
q := q - 1 ;
end loop ;
q := 31 ;
data_io <= t_b(31 downto 0) ;

wait for 400 ns ;
end loop ;
wait for 8 ms ;
--wait for 650 ns ;
end process;

-- process to reset
process
begin
reset <= '1' ;
enbl <= '1' ;
wait for 10 ns ;
reset <= '0' ;
wait ;
end process ;

process
begin
init <= '1' ;
wait for 15 ns ;
init <= '0' ;
wait ;
end process ;

end stimonly ;















?? 快捷鍵說(shuō)明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號(hào) Ctrl + =
減小字號(hào) Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
精品影视av免费| 国精品**一区二区三区在线蜜桃| 日韩三区在线观看| 粉嫩aⅴ一区二区三区四区五区| 一区二区三区资源| 久久品道一品道久久精品| 欧美视频一区二区在线观看| 国产黄色成人av| 日本欧美一区二区| 亚洲精品免费看| 国产欧美一区二区三区鸳鸯浴| 欧美日韩亚洲丝袜制服| 成人黄色综合网站| 精品亚洲国产成人av制服丝袜| 亚洲综合在线免费观看| 国产欧美一区二区精品久导航| 欧美福利一区二区| 欧美色中文字幕| 99re8在线精品视频免费播放| 精品一区二区三区av| 五月激情六月综合| 亚洲精品水蜜桃| 国产精品乱码一区二区三区软件| 精品久久人人做人人爰| 欧美三级在线播放| 日本乱人伦一区| www.亚洲激情.com| 国产成人免费高清| 国产成人午夜视频| 国产麻豆9l精品三级站| 免费观看在线综合色| 日本成人中文字幕在线视频| 亚洲午夜在线观看视频在线| 一区二区三区欧美日| **欧美大码日韩| 国产精品乱人伦| 国产精品丝袜在线| 国产精品美女一区二区三区| 国产亚洲精品7777| 国产欧美一区二区精品性| 久久亚洲综合av| 国产欧美精品一区二区三区四区 | 1024成人网| 中文字幕一区二区三区乱码在线| 国产精品视频看| 中文字幕久久午夜不卡| 国产精品欧美一区喷水| 国产精品白丝在线| 亚洲欧美日韩国产手机在线| 亚洲精品写真福利| 午夜久久久影院| 蜜桃91丨九色丨蝌蚪91桃色| 精品一区二区综合| 国产乱码精品一区二区三区av| 福利一区二区在线| 色综合咪咪久久| 欧美老肥妇做.爰bbww视频| 制服丝袜日韩国产| 337p日本欧洲亚洲大胆精品 | 亚洲国产日韩一级| 午夜av区久久| 国产在线精品一区二区夜色| 国产成人综合亚洲网站| www.久久精品| 欧美色图第一页| 欧美成人vps| 日本一区二区在线不卡| 亚洲激情图片qvod| 麻豆一区二区在线| 国产91精品在线观看| 色婷婷综合久久久久中文一区二区 | 久久综合久久鬼色| 国产精品动漫网站| 亚洲国产精品一区二区www在线| 日日噜噜夜夜狠狠视频欧美人| 精品在线一区二区| 成人av动漫网站| 91精品国产色综合久久ai换脸| 久久久久久久久一| 夜夜嗨av一区二区三区网页| 日韩电影在线一区二区| 国产成人无遮挡在线视频| 欧美综合在线视频| 久久亚洲欧美国产精品乐播| 日韩理论片一区二区| 日韩av一级片| 99久久久精品| 精品99一区二区| 亚洲一区欧美一区| 国产精品自拍在线| 欧美情侣在线播放| 亚洲欧洲国产日本综合| 另类中文字幕网| 在线一区二区视频| 欧美国产激情一区二区三区蜜月| 亚洲成人av福利| 懂色中文一区二区在线播放| 欧美日韩你懂得| 一色桃子久久精品亚洲| 久久99热这里只有精品| 欧美亚洲动漫精品| 欧美极品另类videosde| 久久成人免费网站| 在线看国产一区二区| 日本一区二区三区免费乱视频| 奇米影视一区二区三区小说| 91麻豆自制传媒国产之光| 精品电影一区二区| 日日夜夜免费精品| 色综合天天狠狠| 欧美激情综合网| 另类小说一区二区三区| 欧美日本韩国一区| 一区二区三区在线播| 波多野结衣一区二区三区| 精品欧美乱码久久久久久| 日产国产高清一区二区三区| 在线看不卡av| 有坂深雪av一区二区精品| 福利91精品一区二区三区| 欧美精品一区二区在线播放| 天堂在线一区二区| 欧美午夜免费电影| 一区二区三区欧美亚洲| 色综合久久88色综合天天免费| 国产欧美日韩激情| 成人午夜短视频| 欧美激情综合在线| 成人黄动漫网站免费app| 久久久91精品国产一区二区精品 | 91精品免费观看| 亚洲国产日韩综合久久精品| 日本高清不卡aⅴ免费网站| 中文字幕在线一区二区三区| 成人av电影在线网| 国产精品久久久久毛片软件| 国产不卡高清在线观看视频| 久久嫩草精品久久久精品一| 蜜桃av噜噜一区| 亚洲精品一区在线观看| 激情综合网av| 精品国产91乱码一区二区三区| 久久99精品久久久久久| 精品国产精品一区二区夜夜嗨| 久久99久久久久| 久久精品视频一区二区| 国产精品一区二区三区乱码| 中文字幕第一区第二区| 99精品国产99久久久久久白柏| 综合自拍亚洲综合图不卡区| 日本久久一区二区三区| 亚洲一区二区成人在线观看| 欧美日韩一区二区欧美激情| 日韩中文字幕麻豆| xfplay精品久久| 日韩欧美一区二区在线视频| 久久国产精品第一页| 久久久777精品电影网影网| 9久草视频在线视频精品| 亚洲欧美国产77777| 欧美美女喷水视频| 国产伦精品一区二区三区视频青涩| 国产亚洲精品资源在线26u| 91蜜桃在线观看| 日韩精品1区2区3区| 国产日韩欧美精品一区| 色8久久精品久久久久久蜜| 日本亚洲一区二区| 国产日韩欧美一区二区三区综合| 91网站视频在线观看| 香港成人在线视频| 久久久91精品国产一区二区三区| 91天堂素人约啪| 美女国产一区二区| 国产精品女主播av| 欧美日韩黄色一区二区| 国产精品正在播放| 亚洲国产精品久久久久秋霞影院| 精品少妇一区二区三区免费观看| 91蜜桃婷婷狠狠久久综合9色| 日精品一区二区三区| 国产精品青草久久| 日韩一区二区三区电影在线观看| 成人福利视频在线| 日本欧美在线看| 亚洲欧美在线视频| 日韩视频一区在线观看| 97久久精品人人做人人爽| 日本欧美加勒比视频| 最新高清无码专区| 久久影院电视剧免费观看| 在线观看三级视频欧美| 国产毛片精品国产一区二区三区| 亚洲综合成人在线| 国产精品少妇自拍| 日韩欧美中文一区二区| 日本精品一级二级| 国产高清不卡二三区| 天堂久久久久va久久久久| 亚洲另类春色国产|