亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? tb_cop.v

?? ethernet files verilog code
?? V
字號:
//////////////////////////////////////////////////////////////////////////                                                              ////////  tb_cop.v                                                    ////////                                                              ////////  This file is part of the Ethernet IP core project           ////////  http://www.opencores.org/projects/??????/                   ////////                                                              ////////  Author(s):                                                  ////////      - Igor Mohor (igorM@opencores.org)                      ////////                                                              ////////  All additional information is avaliable in the Readme.txt   ////////  file.                                                       ////////                                                              //////////////////////////////////////////////////////////////////////////////                                                              //////// Copyright (C) 2001, 2002 Authors                             ////////                                                              //////// This source file may be used and distributed without         //////// restriction provided that this copyright statement is not    //////// removed from the file and that any derivative work contains  //////// the original copyright notice and the associated disclaimer. ////////                                                              //////// This source file is free software; you can redistribute it   //////// and/or modify it under the terms of the GNU Lesser General   //////// Public License as published by the Free Software Foundation; //////// either version 2.1 of the License, or (at your option) any   //////// later version.                                               ////////                                                              //////// This source is distributed in the hope that it will be       //////// useful, but WITHOUT ANY WARRANTY; without even the implied   //////// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      //////// PURPOSE.  See the GNU Lesser General Public License for more //////// details.                                                     ////////                                                              //////// You should have received a copy of the GNU Lesser General    //////// Public License along with this source; if not, download it   //////// from http://www.opencores.org/lgpl.shtml                     ////////                                                              ////////////////////////////////////////////////////////////////////////////// CVS Revision History//// $Log: tb_cop.v,v $// Revision 1.1  2002/07/19 13:57:53  mohor// Testing environment also includes traffic cop, memory interface and host// interface.////////`include "timescale.v"module tb_cop();parameter Tp = 1;reg         wb_clk_o;reg         wb_rst_o;// WISHBONE master 1 (input)reg  [31:0] m1_wb_adr_o;reg   [3:0] m1_wb_sel_o;reg         m1_wb_we_o;wire [31:0] m1_wb_dat_i;reg  [31:0] m1_wb_dat_o;reg         m1_wb_cyc_o;reg         m1_wb_stb_o;wire        m1_wb_ack_i;wire        m1_wb_err_i;// WISHBONE master 2 (input)reg  [31:0] m2_wb_adr_o;reg   [3:0] m2_wb_sel_o;reg         m2_wb_we_o;wire [31:0] m2_wb_dat_i;reg  [31:0] m2_wb_dat_o;reg         m2_wb_cyc_o;reg         m2_wb_stb_o;wire        m2_wb_ack_i;wire        m2_wb_err_i;// WISHBONE slave 1 (output)wire [31:0] s1_wb_adr_i;wire  [3:0] s1_wb_sel_i;wire        s1_wb_we_i;reg  [31:0] s1_wb_dat_o;wire [31:0] s1_wb_dat_i;wire        s1_wb_cyc_i;wire        s1_wb_stb_i;reg         s1_wb_ack_o;reg         s1_wb_err_o;// WISHBONE slave 2 (output)wire [31:0] s2_wb_adr_i;wire  [3:0] s2_wb_sel_i;wire        s2_wb_we_i;reg  [31:0] s2_wb_dat_o;wire [31:0] s2_wb_dat_i;wire        s2_wb_cyc_i;wire        s2_wb_stb_i;reg         s2_wb_ack_o;reg         s2_wb_err_o;reg         Wishbone1Busy;reg         Wishbone2Busy;reg         StartTB;eth_cop i_eth_cop(  // WISHBONE common  .wb_clk_i(wb_clk_o), .wb_rst_i(wb_rst_o),   // WISHBONE MASTER 1  .m1_wb_adr_i(m1_wb_adr_o), .m1_wb_sel_i(m1_wb_sel_o), .m1_wb_we_i (m1_wb_we_o),  .m1_wb_dat_o(m1_wb_dat_i),   .m1_wb_dat_i(m1_wb_dat_o), .m1_wb_cyc_i(m1_wb_cyc_o), .m1_wb_stb_i(m1_wb_stb_o), .m1_wb_ack_o(m1_wb_ack_i),   .m1_wb_err_o(m1_wb_err_i),   // WISHBONE MASTER 2  .m2_wb_adr_i(m2_wb_adr_o), .m2_wb_sel_i(m2_wb_sel_o), .m2_wb_we_i (m2_wb_we_o),  .m2_wb_dat_o(m2_wb_dat_i),   .m2_wb_dat_i(m2_wb_dat_o), .m2_wb_cyc_i(m2_wb_cyc_o), .m2_wb_stb_i(m2_wb_stb_o), .m2_wb_ack_o(m2_wb_ack_i),   .m2_wb_err_o(m2_wb_err_i),   // WISHBONE slave 1 	.s1_wb_adr_o(s1_wb_adr_i), .s1_wb_sel_o(s1_wb_sel_i), .s1_wb_we_o (s1_wb_we_i),  .s1_wb_cyc_o(s1_wb_cyc_i),  	.s1_wb_stb_o(s1_wb_stb_i), .s1_wb_ack_i(s1_wb_ack_o), .s1_wb_err_i(s1_wb_err_o), .s1_wb_dat_i(s1_wb_dat_o), 	.s1_wb_dat_o(s1_wb_dat_i),  	  // WISHBONE slave 2 	.s2_wb_adr_o(s2_wb_adr_i), .s2_wb_sel_o(s2_wb_sel_i), .s2_wb_we_o (s2_wb_we_i),  .s2_wb_cyc_o(s2_wb_cyc_i),  	.s2_wb_stb_o(s2_wb_stb_i), .s2_wb_ack_i(s2_wb_ack_o), .s2_wb_err_i(s2_wb_err_o), .s2_wb_dat_i(s2_wb_dat_o), 	.s2_wb_dat_o(s2_wb_dat_i));/*s1_wb_adr_i   m_wb_adr_is1_wb_sel_i   m_wb_sel_is1_wb_we_i    m_wb_we_i s1_wb_dat_o   m_wb_dat_os1_wb_dat_i   m_wb_dat_is1_wb_cyc_i   m_wb_cyc_is1_wb_stb_i   m_wb_stb_is1_wb_ack_o   m_wb_ack_os1_wb_err_o   m_wb_err_o*/initialbegin  s1_wb_ack_o = 0;  s1_wb_err_o = 0;  s1_wb_dat_o = 0;  s2_wb_ack_o = 0;  s2_wb_err_o = 0;  s2_wb_dat_o = 0;// WISHBONE master 1 (input)  m1_wb_adr_o = 0;  m1_wb_sel_o = 0;  m1_wb_we_o  = 0;  m1_wb_dat_o = 0;  m1_wb_cyc_o = 0;  m1_wb_stb_o = 0;  // WISHBONE master 2 (input)  m2_wb_adr_o = 0;  m2_wb_sel_o = 0;  m2_wb_we_o  = 0;  m2_wb_dat_o = 0;  m2_wb_cyc_o = 0;  m2_wb_stb_o = 0;  Wishbone1Busy = 1'b0;  Wishbone2Busy = 1'b0;end// Reset pulseinitialbegin  wb_rst_o =  1'b1;  #100 wb_rst_o =  1'b0;  #100 StartTB  =  1'b1;end// Generating WB_CLK_I clockalwaysbegin  wb_clk_o = 0;  forever #15 wb_clk_o = ~wb_clk_o;  // 2*15 ns -> 33.3 MHz    endinteger seed_wb1, seed_wb2;integer jj, kk;initialbegin  seed_wb1 = 0;  seed_wb2 = 5;endinitialbegin  wait(StartTB);  // Start of testbench    fork    begin    for(jj=0; jj<100; jj=jj+1)    begin      if(seed_wb1[3:0]<4)        begin          $display("(%0t) m1 write to eth start  (Data = Addr = 0x%0x)", $time, {21'h1a0000, seed_wb1[10:0]}); //0xd0000xxx          Wishbone1Write({21'h1a0000, seed_wb1[10:0]}, {21'h1a0000, seed_wb1[10:0]});        end      else      if(seed_wb1[3:0]<=7 && seed_wb1[3:0]>=4)        begin          $display("(%0t) m1 read to eth start  (Addr = 0x%0x)", $time, {21'h1a0000, seed_wb1[10:0]});          Wishbone1Read({21'h1a0000, seed_wb1[10:0]});        end      else      if(seed_wb1[3:0]<=11 && seed_wb1[3:0]>=8)        begin          $display("(%0t) m1 write to memory start  (Data = Addr = 0x%0x)", $time, {21'h000040, seed_wb1[10:0]}); //0x00020xxx          Wishbone1Write({21'h1a0000, seed_wb1[10:0]}, {21'h000040, seed_wb1[10:0]});        end      else      if(seed_wb1[3:0]>=12)        begin          $display("(%0t) m1 read to memory start  (Addr = 0x%0x)", $time, {21'h000040, seed_wb1[10:0]});          Wishbone1Read({21'h000040, seed_wb1[10:0]});        end            #1 seed_wb1 = $random(seed_wb1);      $display("seed_wb1[4:0] = 0x%0x", seed_wb1[4:0]);      repeat(seed_wb1[4:0])   @ (posedge wb_clk_o);    end  end    begin    for(kk=0; kk<100; kk=kk+1)    begin      if(seed_wb2[3:0]<4)        begin          $display("(%0t) m2 write to eth start  (Data = Addr = 0x%0x)", $time, {21'h1a0000, seed_wb2[10:0]}); //0xd0000xxx          Wishbone2Write({21'h1a0000, seed_wb2[10:0]}, {21'h1a0000, seed_wb2[10:0]});        end      else      if(seed_wb2[3:0]<=7 && seed_wb2[3:0]>=4)        begin          $display("(%0t) m2 read to eth start  (Addr = 0x%0x)", $time, {21'h1a0000, seed_wb2[10:0]});          Wishbone2Read({21'h1a0000, seed_wb2[10:0]});        end      else      if(seed_wb2[3:0]<=11 && seed_wb2[3:0]>=8)        begin          $display("(%0t) m2 write to memory start  (Data = Addr = 0x%0x)", $time, {21'h000040, seed_wb2[10:0]}); //0x00020xxx          Wishbone2Write({21'h1a0000, seed_wb2[10:0]}, {21'h000040, seed_wb2[10:0]});        end      else      if(seed_wb2[3:0]>=12)        begin          $display("(%0t) m2 read to memory start  (Addr = 0x%0x)", $time, {21'h000040, seed_wb2[10:0]});          Wishbone2Read({21'h000040, seed_wb2[10:0]});        end            #1 seed_wb2 = $random(seed_wb2);      $display("seed_wb2[4:0] = 0x%0x", seed_wb2[4:0]);      repeat(seed_wb2[4:0])   @ (posedge wb_clk_o);    end  end    join      #10000 $stop;endtask Wishbone1Write;  input [31:0] Data;  input [31:0] Address;  integer ii;  begin    wait (~Wishbone1Busy);    Wishbone1Busy = 1;    @ (posedge wb_clk_o);    #1;    m1_wb_adr_o = Address;    m1_wb_dat_o = Data;    m1_wb_we_o  = 1'b1;    m1_wb_cyc_o = 1'b1;    m1_wb_stb_o = 1'b1;    m1_wb_sel_o = 4'hf;    wait(m1_wb_ack_i | m1_wb_err_i);   // waiting for acknowledge response    // Writing information about the access to the screen    @ (posedge wb_clk_o);    if(m1_wb_ack_i)      $display("(%0t) Master1 write cycle finished ok(Data: 0x%0x, Addr: 0x%0x)", $time, Data, Address);    else      $display("(%0t) Master1 write cycle finished with error(Data: 0x%0x, Addr: 0x%0x)", $time, Data, Address);    #1;    m1_wb_adr_o = 32'hx;    m1_wb_dat_o = 32'hx;    m1_wb_we_o  = 1'bx;    m1_wb_cyc_o = 1'b0;    m1_wb_stb_o = 1'b0;    m1_wb_sel_o = 4'hx;    #5 Wishbone1Busy = 0;  endendtasktask Wishbone1Read;  input [31:0] Address;  reg   [31:0] Data;  integer ii;  begin    wait (~Wishbone1Busy);    Wishbone1Busy = 1;    @ (posedge wb_clk_o);    #1;    m1_wb_adr_o = Address;    m1_wb_we_o  = 1'b0;    m1_wb_cyc_o = 1'b1;    m1_wb_stb_o = 1'b1;    m1_wb_sel_o = 4'hf;    wait(m1_wb_ack_i | m1_wb_err_i);   // waiting for acknowledge response    Data = m1_wb_dat_i;    // Writing information about the access to the screen    @ (posedge wb_clk_o);    if(m1_wb_ack_i)      $display("(%0t) Master1 read cycle finished ok(Data: 0x%0x, Addr: 0x%0x)", $time, Data, Address);    else      $display("(%0t) Master1 read cycle finished with error(Data: 0x%0x, Addr: 0x%0x)", $time, Data, Address);    #1;    m1_wb_adr_o = 32'hx;    m1_wb_dat_o = 32'hx;    m1_wb_we_o  = 1'bx;    m1_wb_cyc_o = 1'b0;    m1_wb_stb_o = 1'b0;    m1_wb_sel_o = 4'hx;    #5 Wishbone1Busy = 0;  endendtasktask Wishbone2Write;  input [31:0] Data;  input [31:0] Address;  integer ii;  begin    wait (~Wishbone2Busy);    Wishbone2Busy = 1;    @ (posedge wb_clk_o);    #1;    m2_wb_adr_o = Address;    m2_wb_dat_o = Data;    m2_wb_we_o  = 1'b1;    m2_wb_cyc_o = 1'b1;    m2_wb_stb_o = 1'b1;    m2_wb_sel_o = 4'hf;    wait(m2_wb_ack_i | m2_wb_err_i);   // waiting for acknowledge response    // Writing information about the access to the screen    @ (posedge wb_clk_o);    if(m2_wb_ack_i)      $display("(%0t) Master2 write cycle finished ok(Data: 0x%0x, Addr: 0x%0x)", $time, Data, Address);    else      $display("(%0t) Master2 write cycle finished with error(Data: 0x%0x, Addr: 0x%0x)", $time, Data, Address);    #1;    m2_wb_adr_o = 32'hx;    m2_wb_dat_o = 32'hx;    m2_wb_we_o  = 1'bx;    m2_wb_cyc_o = 1'b0;    m2_wb_stb_o = 1'b0;    m2_wb_sel_o = 4'hx;    #5 Wishbone2Busy = 0;  endendtasktask Wishbone2Read;  input [31:0] Address;  reg   [31:0] Data;  integer ii;  begin    wait (~Wishbone2Busy);    Wishbone2Busy = 1;    @ (posedge wb_clk_o);    #1;    m2_wb_adr_o = Address;    m2_wb_we_o  = 1'b0;    m2_wb_cyc_o = 1'b1;    m2_wb_stb_o = 1'b1;    m2_wb_sel_o = 4'hf;    wait(m2_wb_ack_i | m2_wb_err_i);   // waiting for acknowledge response    Data = m2_wb_dat_i;    // Writing information about the access to the screen    @ (posedge wb_clk_o);    if(m2_wb_ack_i)      $display("(%0t) Master2 read cycle finished ok(Data: 0x%0x, Addr: 0x%0x)", $time, Data, Address);    else      $display("(%0t) Master2 read cycle finished with error(Data: 0x%0x, Addr: 0x%0x)", $time, Data, Address);    #1;    m2_wb_adr_o = 32'hx;    m2_wb_dat_o = 32'hx;    m2_wb_we_o  = 1'bx;    m2_wb_cyc_o = 1'b0;    m2_wb_stb_o = 1'b0;    m2_wb_sel_o = 4'hx;    #5 Wishbone2Busy = 0;  endendtaskinteger seed_ack_s1, seed_ack_s2;integer cnt_s1, cnt_s2;initialbegin  seed_ack_s1 = 1;  cnt_s1      = 1;  seed_ack_s2 = 2;  cnt_s2      = 32'h88888888;end// Response from slave 1always @ (posedge wb_clk_o or posedge wb_rst_o)begin  #1 seed_ack_s1 = $random(seed_ack_s1);    wait(s1_wb_cyc_i & s1_wb_stb_i);    s1_wb_dat_o = cnt_s1;  repeat(seed_ack_s1[3:0])   @ (posedge wb_clk_o);    #Tp s1_wb_ack_o = 1'b1;  if(~s1_wb_we_i)    cnt_s1=cnt_s1+1;  @ (posedge wb_clk_o);  #Tp s1_wb_ack_o = 1'b0;end// Response from slave 2always @ (posedge wb_clk_o or posedge wb_rst_o)begin  #1 seed_ack_s2 = $random(seed_ack_s2);    wait(s2_wb_cyc_i & s2_wb_stb_i);    s2_wb_dat_o = cnt_s2;  repeat(seed_ack_s2[3:0])   @ (posedge wb_clk_o);    #Tp s2_wb_ack_o = 1'b1;  if(~s1_wb_we_i)    cnt_s2=cnt_s2+1;  @ (posedge wb_clk_o);  #Tp s2_wb_ack_o = 1'b0;endendmodule

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
中文一区在线播放| 91一区在线观看| 成人激情动漫在线观看| 欧美久久久久久久久久| 亚洲视频狠狠干| 国产一区日韩二区欧美三区| 欧美无乱码久久久免费午夜一区| 久久久99精品免费观看| 婷婷夜色潮精品综合在线| 91伊人久久大香线蕉| 国产亚洲成av人在线观看导航| 日本视频一区二区三区| 欧美亚洲综合久久| 依依成人精品视频| 成人18视频在线播放| 日本一区二区三区高清不卡| 男女视频一区二区| 51午夜精品国产| 亚洲动漫第一页| 色美美综合视频| 亚洲品质自拍视频网站| 99久久99久久精品国产片果冻| 2020国产精品自拍| 久久av老司机精品网站导航| 日韩丝袜美女视频| 三级亚洲高清视频| 欧美一区二区在线免费观看| 亚洲一区二区三区视频在线| 欧美又粗又大又爽| 亚洲精品国产a| 欧美系列在线观看| 亚洲电影视频在线| 欧美日韩一区小说| 日韩精品成人一区二区在线| 欧美精品日韩精品| 日韩高清电影一区| 日韩欧美国产1| 国内不卡的二区三区中文字幕| 欧美成人乱码一区二区三区| 国产一区二区在线视频| 国产午夜精品一区二区三区嫩草| 成人福利电影精品一区二区在线观看| 国产欧美久久久精品影院| 成人av在线看| 亚洲免费毛片网站| 欧美日本在线观看| 青青草成人在线观看| 精品国产第一区二区三区观看体验 | 一区二区三区在线视频观看 | 成人免费黄色大片| 亚洲日本电影在线| 337p亚洲精品色噜噜噜| 国产又黄又大久久| 中文字幕欧美激情一区| 在线免费观看一区| 日本vs亚洲vs韩国一区三区| 久久一二三国产| 91丨九色丨国产丨porny| 亚洲h动漫在线| 亚洲精品一区在线观看| 91免费观看视频在线| 日韩国产欧美一区二区三区| 久久久久99精品国产片| 欧美视频一区二区在线观看| 看国产成人h片视频| 中文字幕高清不卡| 欧美精品日日鲁夜夜添| 粉嫩绯色av一区二区在线观看| 亚洲精品乱码久久久久久| 日韩一二在线观看| 一本大道综合伊人精品热热| 久久99精品久久久久久国产越南 | 韩国精品主播一区二区在线观看| 国产精品欧美久久久久一区二区| 欧美色欧美亚洲另类二区| 国产精品羞羞答答xxdd| 午夜欧美在线一二页| 国产亚洲短视频| 欧美一区二区三区日韩| 91一区二区三区在线播放| 精品亚洲aⅴ乱码一区二区三区| 综合分类小说区另类春色亚洲小说欧美| 91精品国产综合久久精品app | 欧美猛男gaygay网站| 国产精品一区二区视频| 日本怡春院一区二区| 亚洲欧美日韩系列| 久久久久国产精品免费免费搜索| 欧美日韩夫妻久久| 91碰在线视频| 成人一区在线观看| 精东粉嫩av免费一区二区三区| 亚洲一区在线视频观看| 亚洲品质自拍视频| 中文一区二区在线观看| 日韩美女在线视频| 欧美夫妻性生活| 精品视频免费看| 在线看日本不卡| 91在线视频网址| 成人毛片在线观看| 高潮精品一区videoshd| 国精产品一区一区三区mba视频| 奇米一区二区三区| 手机精品视频在线观看| 亚洲成人三级小说| 亚洲成人av在线电影| 亚洲精品国产精品乱码不99| 亚洲品质自拍视频| 亚洲天堂中文字幕| 亚洲欧美日韩国产手机在线| 亚洲人成伊人成综合网小说| 国产精品电影院| 国产精品久久三区| 亚洲欧洲性图库| 成人欧美一区二区三区1314| 自拍偷拍亚洲激情| 亚洲精品第一国产综合野| 亚洲精品成人悠悠色影视| 亚洲黄色免费电影| 亚洲国产精品久久人人爱| 亚洲午夜一区二区| 亚洲成人免费av| 人人精品人人爱| 国产在线精品免费| 成人免费毛片aaaaa**| 99九九99九九九视频精品| 91日韩一区二区三区| 欧美日韩一区二区三区视频| 欧美乱妇一区二区三区不卡视频| 欧美一级在线观看| 久久综合久久综合久久| 国产精品女主播在线观看| 亚洲美女精品一区| 偷偷要91色婷婷| 国产乱码一区二区三区| 99在线热播精品免费| 91国模大尺度私拍在线视频| 911精品国产一区二区在线| 精品国产不卡一区二区三区| 久久久国产精华| 亚洲久草在线视频| 麻豆91精品视频| 不卡区在线中文字幕| 欧美亚洲精品一区| 日韩欧美另类在线| 最新欧美精品一区二区三区| 亚洲一区二区三区在线播放| 极品少妇xxxx精品少妇| 色狠狠综合天天综合综合| 日韩欧美久久一区| 亚洲欧洲制服丝袜| 久久99精品国产.久久久久| 99国产精品久久久久久久久久| 欧美一级淫片007| 亚洲欧美一区二区久久 | 婷婷综合五月天| 国产黄色成人av| 欧美日韩久久久| 国产视频911| 欧美a级理论片| 日本道色综合久久| 久久九九久久九九| 日韩精品视频网站| 91伊人久久大香线蕉| 精品国产乱码久久久久久夜甘婷婷| 亚洲日本青草视频在线怡红院| 韩国v欧美v亚洲v日本v| 欧美日韩在线三级| 亚洲欧美偷拍卡通变态| 国产一区二区三区电影在线观看| 欧美日韩国产综合久久 | 国产欧美日韩视频一区二区| 强制捆绑调教一区二区| 91福利在线导航| 国产精品日日摸夜夜摸av| 激情文学综合网| 日韩三级在线观看| 视频一区欧美精品| 欧洲日韩一区二区三区| 亚洲欧洲在线观看av| 成人精品小蝌蚪| 国产欧美精品一区| 国产一区二区三区在线看麻豆| 欧美一区二区三区精品| 亚洲国产精品久久久久婷婷884| 91网址在线看| 国产精品三级电影| 国产成人精品免费视频网站| 久久这里只有精品视频网| 视频一区欧美精品| 精品视频免费看| 一区二区欧美视频| 91国偷自产一区二区三区成为亚洲经典 | 国产精品一卡二卡| 久久久影视传媒| 国产一区999| 国产日产欧美一区二区视频| 激情文学综合丁香| 久久精品视频在线看|