?? i2c_command.vhd
字號:
--============================================================================
-- Project : Video Capture Control
-- Programmer : Byungchan Son
-- Function : SAA7121H Control - CVBS 厚叼坷 浚內歹 力絹
-- Language : VHDL
--============================================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
--============================================================================
-- 澇免仿 器飄 瀝狼
--============================================================================
entity i2c_command is
port(
-- 矯膠袍 腳齲
reset : in std_logic;
clock : in std_logic;
-- I2C control
i2c_data_in : out std_logic_vector(7 downto 0);
i2c_data_out : in std_logic_vector(7 downto 0);
i2c_start_req : out std_logic;
i2c_start_ack : in std_logic;
i2c_write_req : out std_logic;
i2c_write_ack : in std_logic;
i2c_read_req : out std_logic;
i2c_read_ack : in std_logic;
i2c_stop_req : out std_logic;
i2c_stop_ack : in std_logic;
-- 厚叼坷 屈僥
video_type_out : out std_logic
);
end i2c_command;
--============================================================================
-- 備煉 瀝狼
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -