?? test_box_main.vhd
字號:
--============================================================================
-- Project : 雀急 楷搬扁
-- Date : 2006. 8. 4.
-- Version : 0.99 矯氰儈
-- Programmer : Byungchan Son
-- Project Fumction : CPU 焊靛 風(fēng)橇 力絹
-- Module Function : 傈眉 葛碘 烹欽
-- Language : VHDL
--============================================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
--============================================================================
-- 澇免仿 器飄 瀝狼
--============================================================================
entity test_box_main is
port(
-- 矯膠袍 腳齲
reset_n : in std_logic;
clock_in : in std_logic;
clock_out : out std_logic;
-- CPU interface
ale : in std_logic;
wr_n : in std_logic;
rd_n : in std_logic;
addr : in std_logic_vector(7 downto 0);
data : inout std_logic_vector(7 downto 0);
-- COM 腳齲
com_tx_line : out std_logic_vector(6 downto 0);
com_rx_line : in std_logic_vector(6 downto 0);
com0_enable : out std_logic_vector(1 downto 0);
com1_enable : out std_logic_vector(1 downto 0);
cdma_enable : out std_logic
);
end test_box_main;
--============================================================================
-- 備煉 瀝狼
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -