亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? cy22395.vhd

?? Vhdl cod for a clock for sp3e
?? VHD
?? 第 1 頁 / 共 4 頁
字號:
----------------------------------------------------------------------------------  File Name: cy22395.vhd----------------------------------------------------------------------------------  Copyright (C) 2005 Free Model Foundry; http://www.FreeModelFoundry.com/----  This program is free software; you can redistribute it and/or modify--  it under the terms of the GNU General Public License version 2 as--  published by the Free Software Foundation.----  MODIFICATION HISTORY:----  version: |       author:     | mod date: | changes made:--    V1.0     V.Ljubisavljevic    05 Jan 14   Initial release----------------------------------------------------------------------------------  PART DESCRIPTION:----  Library:    CLOCK--  Technology: CMOS--  Part:       CY22395----  Description: Three-PLL Serial-Programmable Flash-Programmable Clock--               Generator----------------------------------------------------------------------------------LIBRARY IEEE;   USE IEEE.std_logic_1164.ALL;                USE IEEE.VITAL_timing.ALL;                USE IEEE.VITAL_primitives.ALL;LIBRARY FMF;    USE FMF.gen_utils.ALL;                USE FMF.conversions.ALL;---------------------------------------------------------------------------------- ENTITY DECLARATION--------------------------------------------------------------------------------ENTITY cy22395 IS    GENERIC (        -- tipd delays: interconnect path delays        tipd_XTALIN             : VitalDelayType01  := VitalZeroDelay01;        tipd_SDAT               : VitalDelayType01Z := VitalZeroDelay01Z;        tipd_SCLK               : VitalDelayType01  := VitalZeroDelay01;        tipd_S2SUSPENDNeg       : VitalDelayType01  := VitalZeroDelay01;        tipd_SHUTDOWNNegOE      : VitalDelayType01  := VitalZeroDelay01;        --tsetup values        tsetup_SDAT_SCLK        : VitalDelayType:= UnitDelay;        --tpw values: pulse width        tpw_SCLK_posedge        : VitalDelayType := UnitDelay;        tpw_SCLK_negedge        : VitalDelayType := UnitDelay;        -- tperiod_min: minimum clock period = 1/max freq        tperiod_XTALIN          : VitalDelayType := UnitDelay;        tperiod_SCLK            : VitalDelayType := UnitDelay;        -- generic control parameters        InstancePath        : STRING    := DefaultInstancePath;        TimingChecksOn      : BOOLEAN   := DefaultTimingChecks;        MsgOn               : BOOLEAN   := DefaultMsgOn;        XOn                 : BOOLEAN   := DefaultXon;        -- For FMF SDF technology file usage        TimingModel         : STRING    := DefaultTimingModel        );    PORT (        XTALIN          : IN    std_logic := 'U';        SCLK            : IN    std_logic := 'U';        S2SUSPENDNeg    : IN    std_logic := 'U';        SHUTDOWNNegOE   : IN    std_logic := 'U';        SDAT            : INOUT std_logic := 'Z';        CLKA            : OUT   std_logic := 'U';        CLKB            : OUT   std_logic := 'U';        CLKC            : OUT   std_logic := 'U';        CLKD            : OUT   std_logic := 'U';        CLKE            : OUT   std_logic := 'U'        );    ATTRIBUTE VITAL_LEVEL0 of cy22395 : ENTITY IS TRUE;END cy22395;---------------------------------------------------------------------------------- ARCHITECTURE DECLARATION--------------------------------------------------------------------------------ARCHITECTURE vhdl_behavioral of cy22395 IS    ATTRIBUTE VITAL_LEVEL0 of vhdl_behavioral : ARCHITECTURE IS TRUE;    CONSTANT partID             : STRING := "cy22395";    CONSTANT DeviceAddress      : std_logic_vector(7 downto 0) := X"69";    CONSTANT MaxAddr            : NATURAL := 16#FF#;    SIGNAL XTALIN_ipd           : std_logic := 'U';    SIGNAL SDAT_ipd             : std_logic := 'U';    SIGNAL SCLK_ipd             : std_logic := 'U';    SIGNAL S2SUSPENDNeg_ipd     : std_logic := 'U';    SIGNAL SHUTDOWNNegOE_ipd    : std_logic := 'U';    SIGNAL PLL1_LOCK            : boolean;    SIGNAL PLL2_LOCK            : boolean;    SIGNAL PLL3_LOCK            : boolean;    SIGNAL MULT1_OUT            : std_logic := '0';    SIGNAL MULT2_OUT            : std_logic := '0';    SIGNAL MULT3_OUT            : std_logic := '0';    SIGNAL PLL1_OUT             : std_logic := '1';    SIGNAL PLL2_OUT             : std_logic := '1';    SIGNAL PLL3_OUT             : std_logic := '1';    SIGNAL DIV1_OUT             : std_logic := '1';    SIGNAL DIV2_OUT             : std_logic := '1';    SIGNAL DIV3_OUT             : std_logic := '1';    SIGNAL PostDivA_in          : std_logic;    SIGNAL PostDivB_in          : std_logic;    SIGNAL PostDivC_in          : std_logic;    SIGNAL PostDivD_in          : std_logic;    SIGNAL PostDivE_in          : std_logic;    SIGNAL PostDivA_out         : std_logic;    SIGNAL PostDivB_out         : std_logic;    SIGNAL PostDivC_out         : std_logic;    SIGNAL PostDivD_out         : std_logic;    SIGNAL PostDivE_out         : std_logic;    SIGNAL S2, S1, S0           : std_logic := '0';    ----------------------------------------------------------------------------    -- Registers    ----------------------------------------------------------------------------    SIGNAL R_08H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_09H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_0AH : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_0BH : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_0CH : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_0DH : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_0EH : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_0FH : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_10H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_11H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_12H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_13H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_14H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_15H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_16H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_17H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_40H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_41H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_42H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_43H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_44H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_45H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_46H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_47H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_48H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_49H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_4AH : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_4BH : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_4CH : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_4DH : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_4EH : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_4FH : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_50H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_51H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_52H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_53H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_54H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_55H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_56H : std_logic_vector(7 downto 0) := "00000000";    SIGNAL R_57H : std_logic_vector(7 downto 0) := "00000000";    ALIAS ClkA0_FS0  : std_logic IS R_08H(7);    ALIAS ClkA1_FS0  : std_logic IS R_09H(7);    ALIAS ClkB0_FS0  : std_logic IS R_0AH(7);    ALIAS ClkB1_FS0  : std_logic IS R_0BH(7);    ALIAS ClkC_FS0   : std_logic IS R_0CH(7);    ALIAS ClkD_FS0   : std_logic IS R_0DH(7);    ALIAS ClkA0_Div  : std_logic_vector(6 downto 0) IS R_08H(6 downto 0);    ALIAS ClkA1_Div  : std_logic_vector(6 downto 0) IS R_09H(6 downto 0);    ALIAS ClkB0_Div  : std_logic_vector(6 downto 0) IS R_0AH(6 downto 0);    ALIAS ClkB1_Div  : std_logic_vector(6 downto 0) IS R_0BH(6 downto 0);    ALIAS ClkC_Div   : std_logic_vector(6 downto 0) IS R_0CH(6 downto 0);    ALIAS ClkD_Div   : std_logic_vector(6 downto 0) IS R_0DH(6 downto 0);    ALIAS ClkA_FS21  : std_logic_vector(1 downto 0) IS R_0EH(1 downto 0);    ALIAS ClkB_FS21  : std_logic_vector(1 downto 0) IS R_0EH(3 downto 2);    ALIAS ClkC_FS21  : std_logic_vector(1 downto 0) IS R_0EH(5 downto 4);    ALIAS ClkD_FS21  : std_logic_vector(1 downto 0) IS R_0EH(7 downto 6);    ALIAS ClkE_Div   : std_logic_vector(1 downto 0) IS R_0FH(1 downto 0);    ALIAS PdnEn      : std_logic IS R_0FH(3);    ALIAS PLL2_Q     : std_logic_vector(7 downto 0) IS R_11H;    ALIAS PLL2_P98   : std_logic_vector(1 downto 0) IS R_13H(1 downto 0);    ALIAS PLL2_P70   : std_logic_vector(7 downto 0) IS R_12H;    ALIAS PLL2_P0    : std_logic IS R_13H(2);    ALIAS PLL2_En    : std_logic IS R_13H(6);    ALIAS PLL3_Q     : std_logic_vector(7 downto 0) IS R_14H;    ALIAS PLL3_P98   : std_logic_vector(1 downto 0) IS R_16H(1 downto 0);    ALIAS PLL3_P70   : std_logic_vector(7 downto 0) IS R_15H;    ALIAS PLL3_P0    : std_logic IS R_16H(2);    ALIAS PLL3_En    : std_logic IS R_16H(6);    SIGNAL Pll1A_Q      : std_logic_vector(7 DOWNTO 0) := "00000000";    SIGNAL PLL1A_P98    : std_logic_vector(1 downto 0) := "00";    SIGNAL PLL1A_P70    : std_logic_vector(7 downto 0) := "00000000";    SIGNAL PLL1A_P0     : std_logic                    := '0';    SIGNAL PLL1A_En     : std_logic                    := '0';    SIGNAL PLL1A_DivSel : std_logic                    := '0';    SIGNAL Pll1B_Q      : std_logic_vector(7 DOWNTO 0) := "00000000";    SIGNAL PLL1B_P98    : std_logic_vector(1 downto 0) := "00";    SIGNAL PLL1B_P70    : std_logic_vector(7 downto 0) := "00000000";    SIGNAL PLL1B_P0     : std_logic                    := '0';    SIGNAL PLL1B_En     : std_logic                    := '0';    SIGNAL PLL1B_DivSel : std_logic                    := '0';    SIGNAL PLL1_Q       : std_logic_vector(7 downto 0) := "00000000";    SIGNAL PLL1_P98     : std_logic_vector(1 downto 0) := "00";    SIGNAL PLL1_P70     : std_logic_vector(7 downto 0) := "00000000";    SIGNAL PLL1_P0      : std_logic                    := '0';    SIGNAL PLL1_En      : std_logic                    := '0';    SIGNAL PLL1_DivSel  : std_logic                    := '0';    FUNCTION NOTz(s : std_logic) RETURN std_logic IS    BEGIN        IF (s = 'Z') THEN            RETURN 'Z';        ELSE            RETURN NOT s;        END IF;    END NOTz;    FUNCTION NOT1(s : std_logic) RETURN std_logic IS    BEGIN        IF (s = 'Z') THEN            RETURN '1';        ELSE            RETURN NOT s;        END IF;    END NOT1;BEGIN    ---------------------------------------------------------------------------    -- Wire Delays    ---------------------------------------------------------------------------    WireDelay : BLOCK    BEGIN        w_1   : VitalWireDelay(            XTALIN_ipd, XTALIN, tipd_XTALIN );        w_2   : VitalWireDelay(            SDAT_ipd, SDAT, tipd_SDAT );        w_3   : VitalWireDelay(            SCLK_ipd, SCLK, tipd_SCLK );        w_4   : VitalWireDelay(            S2SUSPENDNeg_ipd, S2SUSPENDNeg, tipd_S2SUSPENDNeg );        w_5   : VitalWireDelay(            SHUTDOWNNegOE_ipd, SHUTDOWNNegOE, tipd_SHUTDOWNNegOE );    END BLOCK;    ---------------------------------------------------------------------------    -- Main Behavior Block    ---------------------------------------------------------------------------    Behavior: BLOCK    BEGIN        S2 <= S2SUSPENDNeg;        -- purpose: Latching of S0 on power up        -- type   : combinational        -- outputs: S0        S0_Latching: PROCESS            VARIABLE first  : BOOLEAN := true;        BEGIN  -- PROCESS S0_Latching            IF first THEN                first := false;                S0 <= SDAT;            ELSE                WAIT;            END IF;        END PROCESS S0_Latching;        -- purpose: Latching of SCLK pin in S1 signal on startup        -- type   : combinational        -- inputs :        -- outputs: S1        S1_Latching: PROCESS            VARIABLE first  : BOOLEAN := true;        BEGIN  -- PROCESS S1_Latching            IF first THEN                S1 <= SCLK;                first := false;            ELSE                WAIT;            END IF;        END PROCESS S1_Latching;        -----------------------------------------------------------------------        -- Selector Process        -----------------------------------------------------------------------        Selector01 : PROCESS(S1, S0,R_40H,R_41H,R_42H,R_43H,R_44H,R_45H,R_46H,                             R_47H,R_48H,R_49H,R_4AH,R_4BH,R_4CH,R_4DH,R_4EH,                             R_4FH,R_50H,R_51H,R_52H,                             R_53H,R_54H,R_55H,R_56H,R_57H)            VARIABLE S : natural range 0 to 3;        BEGIN            S := to_nat((S1,S0));            CASE S IS                WHEN 0 =>                    PLL1A_Q      <= R_40H;                    PLL1A_P98    <= R_42H(1 downto 0);                    PLL1A_P70    <= R_41H;                    PLL1A_P0     <= R_42H(2);                    PLL1A_En     <= R_42H(6);                    PLL1A_DivSel <= R_42H(7);                    PLL1B_Q      <= R_4CH;                    PLL1B_P98    <= R_4EH(1 downto 0);                    PLL1B_P70    <= R_4DH;                    PLL1B_P0     <= R_4EH(2);                    PLL1B_En     <= R_4EH(6);                    PLL1B_DivSel <= R_4EH(7);                WHEN 1 =>                    PLL1A_Q      <= R_43H;                    PLL1A_P98    <= R_45H(1 downto 0);                    PLL1A_P70    <= R_44H;                    PLL1A_P0     <= R_45H(2);                    PLL1A_En     <= R_45H(6);                    PLL1A_DivSel <= R_45H(7);                    PLL1B_Q      <= R_4FH;                    PLL1B_P98    <= R_51H(1 downto 0);                    PLL1B_P70    <= R_50H;                    PLL1B_P0     <= R_51H(2);                    PLL1B_En     <= R_51H(6);                    PLL1B_DivSel <= R_51H(7);                WHEN 2 =>                    PLL1A_Q      <= R_46H;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
精品国产亚洲一区二区三区在线观看| 日韩美女视频一区二区| 亚洲国产精品高清| 亚洲国产毛片aaaaa无费看| 国产成人鲁色资源国产91色综 | 欧美一区二区免费视频| 国产精品看片你懂得| 美国欧美日韩国产在线播放| 欧美中文字幕亚洲一区二区va在线| 久久久久久一二三区| 人人精品人人爱| 欧美三级韩国三级日本一级| 国产精品理伦片| 国产很黄免费观看久久| xvideos.蜜桃一区二区| 美女高潮久久久| 欧美一级国产精品| 无吗不卡中文字幕| 在线国产电影不卡| 一区二区三区资源| 99re8在线精品视频免费播放| 精品国产伦一区二区三区免费| 亚洲国产成人高清精品| 一本到不卡免费一区二区| 国产精品久久二区二区| 粉嫩av亚洲一区二区图片| 久久综合久久鬼色| 国产精品一区二区久激情瑜伽 | 国产在线视频不卡二| 这里只有精品电影| 日韩不卡一二三区| 欧美一区二区久久久| 免费日韩伦理电影| 日韩免费观看2025年上映的电影| 麻豆成人av在线| 337p日本欧洲亚洲大胆色噜噜| 激情欧美一区二区| 中文在线一区二区| 成人国产电影网| 亚洲精品videosex极品| 欧美日韩精品一区二区在线播放| 香蕉久久一区二区不卡无毒影院| 69堂成人精品免费视频| 九九久久精品视频| 国产日韩欧美精品一区| 99精品热视频| 婷婷中文字幕综合| 日韩精品最新网址| 成人精品一区二区三区四区| 国产精品久久久久永久免费观看 | 9191成人精品久久| 激情文学综合网| 国产精品久久久久久户外露出| 91香蕉视频mp4| 天天综合天天做天天综合| 日韩精品中午字幕| 成人av免费在线| 图片区小说区区亚洲影院| 日韩欧美一级特黄在线播放| 成人综合在线网站| 亚洲成人免费观看| 久久综合九色综合久久久精品综合| 国产成人精品亚洲日本在线桃色| 亚洲人成精品久久久久| 欧美日本高清视频在线观看| 国产精品亚洲成人| 亚洲成国产人片在线观看| 久久久亚洲高清| 欧美日韩精品免费观看视频| 国产高清精品久久久久| 午夜欧美在线一二页| 欧美激情综合五月色丁香| 欧美日本一区二区在线观看| 国产精品18久久久久久久久| 亚洲午夜久久久久中文字幕久| 精品少妇一区二区三区视频免付费| 成人不卡免费av| 麻豆国产精品一区二区三区 | 91丝袜呻吟高潮美腿白嫩在线观看| 天天av天天翘天天综合网| 久久精品亚洲麻豆av一区二区 | 天天综合色天天| 国产精品国产a| 欧美成人欧美edvon| 精品视频在线免费| 不卡av在线免费观看| 看片的网站亚洲| 亚洲国产视频一区二区| 国产精品第四页| 久久久午夜精品理论片中文字幕| 欧美视频一区二区在线观看| av中文字幕在线不卡| 国产精品系列在线播放| 日韩av在线免费观看不卡| 亚洲精品视频在线观看网站| 欧美激情一区二区三区全黄| 欧美mv和日韩mv国产网站| 欧美一区二区日韩| 欧美日韩国产a| 欧美三级电影网| 色综合久久综合网欧美综合网| 成人三级伦理片| 国产suv精品一区二区6| 国产精品99久久久久| 国产乱妇无码大片在线观看| 日本欧美一区二区在线观看| 天堂久久一区二区三区| 日韩福利视频导航| 亚洲国产精品久久人人爱 | 91麻豆免费看片| yourporn久久国产精品| 成人av免费在线观看| av不卡在线观看| 91蝌蚪porny成人天涯| 一本一本大道香蕉久在线精品 | 国产一区二区91| 国产一区二区看久久| 国产一区二区三区在线观看免费 | 成人福利视频在线看| 成人深夜福利app| 91原创在线视频| 在线观看91视频| 69久久夜色精品国产69蝌蚪网| 91超碰这里只有精品国产| 日韩欧美自拍偷拍| 国产亚洲一区二区在线观看| 久久精品视频一区二区三区| 中文字幕av不卡| 伊人性伊人情综合网| 日韩精品三区四区| 久久66热re国产| 99国产精品一区| 欧美人伦禁忌dvd放荡欲情| 日韩一级免费一区| 国产欧美一区二区三区在线看蜜臀 | 欧美激情中文字幕一区二区| 亚洲天堂免费在线观看视频| 亚洲影院理伦片| 久久91精品国产91久久小草| 成人国产精品视频| 欧美区一区二区三区| 久久一区二区视频| 一区二区三区不卡视频| 日韩av电影天堂| 高清国产一区二区| 欧美性一级生活| 精品久久免费看| 一区二区三区在线免费观看| 日韩精品高清不卡| 国产成人在线看| 欧美日韩一区二区三区四区 | 678五月天丁香亚洲综合网| 久久亚洲一区二区三区明星换脸| 亚洲日本一区二区三区| 日韩电影在线免费| www.在线成人| 在线电影院国产精品| 中文字幕乱码一区二区免费| 亚洲成在线观看| www.欧美日韩国产在线| 在线不卡的av| 亚洲蜜臀av乱码久久精品| 精品一区二区三区视频| 欧美写真视频网站| 亚洲国产成人午夜在线一区 | 日韩欧美色综合网站| 一区二区三区四区蜜桃| 另类的小说在线视频另类成人小视频在线 | 国产精品嫩草影院com| 免费人成精品欧美精品 | 一二三区精品福利视频| 国产精品77777| 欧美高清视频不卡网| 亚洲人精品午夜| 岛国一区二区三区| 精品免费视频.| 亚洲成人在线网站| 色网站国产精品| 综合网在线视频| 成人免费av在线| 国产亚洲成aⅴ人片在线观看| 美腿丝袜亚洲三区| 91精品免费观看| 婷婷综合在线观看| 欧美日韩一区二区欧美激情| 亚洲激情图片一区| 色婷婷久久久综合中文字幕| 中文字幕一区免费在线观看| 国产传媒一区在线| 久久久久久久久久电影| 国产在线精品免费av| 精品日韩欧美一区二区| 麻豆国产一区二区| 日韩欧美国产综合| 美女脱光内衣内裤视频久久网站 | 麻豆国产91在线播放| 日韩视频免费观看高清完整版| 亚洲国产精品人人做人人爽| 欧美视频在线播放| 三级在线观看一区二区|