亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? ad_test.qsf

?? 多功能卡的源代碼
?? QSF
字號:
# Copyright (C) 1991-2008 Altera Corporation
# Your use of Altera Corporation's design tools, logic functions 
# and other software and tools, and its AMPP partner logic 
# functions, and any output files from any of the foregoing 
# (including device programming or simulation files), and any 
# associated documentation or information are expressly subject 
# to the terms and conditions of the Altera Program License 
# Subscription Agreement, Altera MegaCore Function License 
# Agreement, or other applicable license agreement, including, 
# without limitation, that your use is for the sole purpose of 
# programming logic devices manufactured by Altera and sold by 
# Altera or its authorized distributors.  Please refer to the 
# applicable agreement for further details.


# The default values for assignments are stored in the file
#		ad_test_assignment_defaults.qdf
# If this file doesn't exist, and for assignments not listed, see file
#		assignment_defaults.qdf

# Altera recommends that you do not modify this file. This
# file is updated automatically by the Quartus II software
# and any changes you make may be lost or overwritten.


set_global_assignment -name FAMILY Cyclone
set_global_assignment -name DEVICE EP1C6Q240C7
set_global_assignment -name TOP_LEVEL_ENTITY CPCI_exp
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 8.0
set_global_assignment -name PROJECT_CREATION_TIME_DATE "10:33:04  DECEMBER 10, 2008"
set_global_assignment -name LAST_QUARTUS_VERSION 8.0
set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace
set_global_assignment -name DEVICE_FILTER_PACKAGE PQFP
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
set_location_assignment PIN_49 -to ADS_
set_location_assignment PIN_48 -to BLAST_
set_location_assignment PIN_28 -to CLK
set_location_assignment PIN_59 -to READY_
set_location_assignment PIN_61 -to LD[0]
set_location_assignment PIN_62 -to LD[1]
set_location_assignment PIN_63 -to LD[2]
set_location_assignment PIN_64 -to LD[3]
set_location_assignment PIN_65 -to LD[4]
set_location_assignment PIN_66 -to LD[5]
set_location_assignment PIN_67 -to LD[6]
set_location_assignment PIN_68 -to LD[7]
set_location_assignment PIN_74 -to LD[8]
set_location_assignment PIN_75 -to LD[9]
set_location_assignment PIN_76 -to LD[10]
set_location_assignment PIN_77 -to LD[11]
set_location_assignment PIN_78 -to LD[12]
set_location_assignment PIN_79 -to LD[13]
set_location_assignment PIN_80 -to LD[14]
set_location_assignment PIN_81 -to LD[15]
set_location_assignment PIN_107 -to LA[2]
set_location_assignment PIN_108 -to LA[3]
set_location_assignment PIN_113 -to LA[4]
set_location_assignment PIN_114 -to LA[5]
set_location_assignment PIN_115 -to LA[6]
set_location_assignment PIN_116 -to LA[7]
set_location_assignment PIN_117 -to LA[8]
set_location_assignment PIN_118 -to LA[9]
set_location_assignment PIN_106 -to LWR
set_location_assignment PIN_54 -to LHOLD
set_location_assignment PIN_53 -to LHOLDA
set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE EPCS1
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
set_location_assignment PIN_120 -to IO[11]
set_location_assignment PIN_119 -to IO[10]
set_location_assignment PIN_121 -to IO[9]
set_location_assignment PIN_122 -to IO[8]
set_location_assignment PIN_124 -to IO[7]
set_location_assignment PIN_123 -to IO[6]
set_location_assignment PIN_125 -to IO[5]
set_location_assignment PIN_126 -to IO[4]
set_location_assignment PIN_128 -to IO[3]
set_location_assignment PIN_127 -to IO[2]
set_location_assignment PIN_132 -to IO[1]
set_location_assignment PIN_133 -to IO[0]
set_location_assignment PIN_193 -to _232_sdin
set_location_assignment PIN_177 -to TEST_PIN[3]
set_location_assignment PIN_176 -to TEST_PIN[2]
set_location_assignment PIN_175 -to TEST_PIN[1]
set_location_assignment PIN_174 -to TEST_PIN[0]
set_location_assignment PIN_179 -to _7301_sclk
set_location_assignment PIN_198 -to _422_sclk_1
set_location_assignment PIN_200 -to _422_sclk_2
set_location_assignment PIN_202 -to _422_sclk_3
set_location_assignment PIN_204 -to _422_sclk_4
set_location_assignment PIN_197 -to _422_sdin_1
set_location_assignment PIN_199 -to _422_sdin_2
set_location_assignment PIN_201 -to _422_sdin_3
set_location_assignment PIN_203 -to _422_sdin_4
set_location_assignment PIN_173 -to _7301_DOUT
set_location_assignment PIN_223 -to RAM_A[0]
set_location_assignment PIN_224 -to RAM_A[1]
set_location_assignment PIN_235 -to RAM_A[2]
set_location_assignment PIN_234 -to RAM_A[3]
set_location_assignment PIN_233 -to RAM_A[4]
set_location_assignment PIN_6 -to RAM_A[5]
set_location_assignment PIN_7 -to RAM_A[6]
set_location_assignment PIN_8 -to RAM_A[7]
set_location_assignment PIN_11 -to RAM_A[8]
set_location_assignment PIN_13 -to RAM_A[9]
set_location_assignment PIN_16 -to RAM_A[10]
set_location_assignment PIN_17 -to RAM_A[11]
set_location_assignment PIN_18 -to RAM_A[12]
set_location_assignment PIN_19 -to RAM_A[13]
set_location_assignment PIN_20 -to RAM_A[14]
set_location_assignment PIN_214 -to RAM_A[15]
set_location_assignment PIN_215 -to RAM_A[16]
set_location_assignment PIN_216 -to RAM_A[17]
set_location_assignment PIN_217 -to RAM_A[18]
set_location_assignment PIN_218 -to RAM_A[19]
set_location_assignment PIN_222 -to RAM_BHE_
set_location_assignment PIN_15 -to RAM_BLE_
set_location_assignment PIN_221 -to RAM_CE1_
set_location_assignment PIN_220 -to RAM_WE_
set_location_assignment PIN_219 -to RAM_CE2
set_location_assignment PIN_213 -to RAM_D[0]
set_location_assignment PIN_208 -to RAM_D[1]
set_location_assignment PIN_207 -to RAM_D[2]
set_location_assignment PIN_206 -to RAM_D[3]
set_location_assignment PIN_236 -to RAM_D[4]
set_location_assignment PIN_237 -to RAM_D[5]
set_location_assignment PIN_238 -to RAM_D[6]
set_location_assignment PIN_1 -to RAM_D[7]
set_location_assignment PIN_5 -to RAM_D[8]
set_location_assignment PIN_4 -to RAM_D[9]
set_location_assignment PIN_3 -to RAM_D[10]
set_location_assignment PIN_2 -to RAM_D[11]
set_location_assignment PIN_228 -to RAM_D[12]
set_location_assignment PIN_227 -to RAM_D[13]
set_location_assignment PIN_226 -to RAM_D[14]
set_location_assignment PIN_225 -to RAM_D[15]
set_location_assignment PIN_138 -to ad7864_sel1
set_location_assignment PIN_137 -to ad7864_sel2
set_location_assignment PIN_136 -to ad7864_sel3
set_location_assignment PIN_135 -to ad7864_sel4
set_location_assignment PIN_158 -to ad7864_eoc
set_location_assignment PIN_156 -to ad7864_conv
set_location_assignment PIN_141 -to ad7864_busy
set_location_assignment PIN_140 -to ad7864_cs
set_location_assignment PIN_139 -to ad7864_read
set_location_assignment PIN_159 -to ad7864_data[0]
set_location_assignment PIN_160 -to ad7864_data[1]
set_location_assignment PIN_161 -to ad7864_data[2]
set_location_assignment PIN_162 -to ad7864_data[3]
set_location_assignment PIN_163 -to ad7864_data[4]
set_location_assignment PIN_164 -to ad7864_data[5]
set_location_assignment PIN_165 -to ad7864_data[6]
set_location_assignment PIN_166 -to ad7864_data[7]
set_location_assignment PIN_167 -to ad7864_data[8]
set_location_assignment PIN_168 -to ad7864_data[9]
set_location_assignment PIN_169 -to ad7864_data[10]
set_location_assignment PIN_170 -to ad7864_data[11]
set_location_assignment PIN_14 -to RAM_OE_
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
set_location_assignment PIN_82 -to LD[16]
set_location_assignment PIN_83 -to LD[17]
set_location_assignment PIN_84 -to LD[18]
set_location_assignment PIN_85 -to LD[19]
set_location_assignment PIN_86 -to LD[20]
set_location_assignment PIN_87 -to LD[21]
set_location_assignment PIN_88 -to LD[22]
set_location_assignment PIN_93 -to LD[23]
set_location_assignment PIN_94 -to LD[24]
set_location_assignment PIN_95 -to LD[25]
set_location_assignment PIN_96 -to LD[26]
set_location_assignment PIN_97 -to LD[27]
set_location_assignment PIN_98 -to LD[28]
set_location_assignment PIN_99 -to LD[29]
set_location_assignment PIN_100 -to LD[30]
set_location_assignment PIN_101 -to LD[31]
set_location_assignment PIN_182 -to _7301_channel_cs[0]
set_location_assignment PIN_183 -to _7301_channel_cs[1]
set_location_assignment PIN_187 -to _7301_channel_cs[2]
set_location_assignment PIN_186 -to _7301_channel_cs[3]
set_location_assignment PIN_185 -to _7301_channel_cs[4]
set_location_assignment PIN_184 -to _7301_channel_cs[5]
set_location_assignment PIN_181 -to _7301_channel_cs[6]
set_location_assignment PIN_180 -to _7301_channel_cs[7]
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
set_global_assignment -name INCREMENTAL_VECTOR_INPUT_SOURCE t2.vwf
set_global_assignment -name VERILOG_FILE _422_receiver_2.v
set_global_assignment -name VERILOG_FILE core1.v
set_global_assignment -name VERILOG_FILE _422_receiver_1.v
set_global_assignment -name BDF_FILE 14490.bdf
set_global_assignment -name VERILOG_FILE NUM_TEST.v
set_global_assignment -name VERILOG_FILE ad7864_controller.v
set_global_assignment -name VERILOG_FILE ad7301_controller.v
set_global_assignment -name VECTOR_WAVEFORM_FILE t1.vwf
set_global_assignment -name VERILOG_FILE _232_fifo.v
set_global_assignment -name BDF_FILE _232_test.bdf
set_global_assignment -name BDF_FILE _232_to_fifo.bdf
set_global_assignment -name VERILOG_FILE _232_txd_t1.v
set_global_assignment -name VERILOG_FILE _422_fifo.v
set_global_assignment -name BDF_FILE _422_test.bdf
set_global_assignment -name BDF_FILE _422_test1.bdf
set_global_assignment -name BDF_FILE _422_test2.bdf
set_global_assignment -name BDF_FILE _422_test3.bdf
set_global_assignment -name BDF_FILE _422_test4.bdf
set_global_assignment -name BDF_FILE _422_to_fifo.bdf
set_global_assignment -name BDF_FILE _422_to_fifo1.bdf
set_global_assignment -name BDF_FILE _422_to_fifo2.bdf
set_global_assignment -name BDF_FILE _422_to_fifo3.bdf
set_global_assignment -name BDF_FILE _422_to_fifo4.bdf
set_global_assignment -name VERILOG_FILE _422_txd.v
set_global_assignment -name VERILOG_FILE _422_txd1.v
set_global_assignment -name VERILOG_FILE _422_txd_power.v
set_global_assignment -name VERILOG_FILE _7301fifo.v
set_global_assignment -name VERILOG_FILE _7864fifo.v
set_global_assignment -name VERILOG_FILE _back_fifo.v
set_global_assignment -name BDF_FILE ad7864_fifo.bdf
set_global_assignment -name BDF_FILE ad_test_new.bdf
set_global_assignment -name BDF_FILE adt7301_fifo.bdf
set_global_assignment -name VERILOG_FILE altpll0.v
set_global_assignment -name VERILOG_FILE clk_txd.v
set_global_assignment -name VERILOG_FILE core.v
set_global_assignment -name VERILOG_FILE core_controller.v
set_global_assignment -name BDF_FILE CPCI_exp.bdf
set_global_assignment -name VERILOG_FILE dma.v
set_global_assignment -name VERILOG_FILE dma_all.v
set_global_assignment -name VERILOG_FILE double_clk_fifo.v
set_global_assignment -name VERILOG_FILE DS_ad_ctrl.v
set_global_assignment -name VERILOG_FILE DS_ad_subctrl.v
set_global_assignment -name VERILOG_FILE lt_uart_r5.v
set_global_assignment -name VERILOG_FILE pll.v
set_global_assignment -name BDF_FILE CPCI_exp_bk.bdf
set_global_assignment -name VERILOG_FILE clk_tran.v
set_global_assignment -name VECTOR_WAVEFORM_FILE t2.vwf
set_global_assignment -name QIP_FILE test_fifo.qip
set_global_assignment -name VERILOG_FILE NUM_TEST1.v
set_global_assignment -name BDF_FILE 14491.bdf
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_COLOR 14622752 -section_id Top
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
美国三级日本三级久久99| 精品国产一区二区三区久久影院| 久久精品视频一区二区| 国产在线精品一区二区夜色| 精品精品欲导航| 国产成人亚洲综合a∨婷婷图片 | 亚洲人成在线观看一区二区| 99久久国产综合色|国产精品| 亚洲男人电影天堂| 精品视频在线看| 蜜桃视频第一区免费观看| 欧美精品一区二区三区一线天视频| 国产一区二区三区久久悠悠色av | 久久婷婷色综合| 国产一区二区精品在线观看| 国产欧美日韩在线视频| 99精品视频一区二区三区| 亚洲小少妇裸体bbw| 欧美一区二区在线观看| 国产一区二区不卡| 亚洲视频免费观看| 制服丝袜亚洲色图| 国产精品综合一区二区三区| 亚洲天堂精品在线观看| 欧美一区日韩一区| 国产激情91久久精品导航| 亚洲卡通动漫在线| 91精品免费观看| 成人小视频在线观看| 夜夜嗨av一区二区三区中文字幕| 欧美一区二区三区啪啪| 成人教育av在线| 亚洲一区二区三区中文字幕| 日韩精品最新网址| 色吧成人激情小说| 国产在线精品一区二区夜色 | 成人毛片老司机大片| 亚洲福利一区二区三区| 久久精品在线免费观看| 欧美日韩国产片| 国产成+人+日韩+欧美+亚洲| 性欧美疯狂xxxxbbbb| 亚洲国产成人私人影院tom| 欧美伦理视频网站| 91亚洲国产成人精品一区二区三| 美女爽到高潮91| 亚洲制服丝袜一区| 国产精品久久久久婷婷二区次| 91麻豆精品91久久久久同性| 99久久免费精品高清特色大片| 经典三级在线一区| 日韩电影在线看| 亚洲男帅同性gay1069| 国产日韩亚洲欧美综合| 日韩视频免费观看高清完整版在线观看| 成人一区二区视频| 国产一区二区三区精品视频| 日韩国产高清在线| 亚洲二区在线视频| 一区二区三区毛片| 亚洲视频在线一区| 中文字幕一区二区不卡| 久久亚洲综合色一区二区三区| 7799精品视频| 欧美片网站yy| 欧美视频一区在线观看| 色婷婷精品久久二区二区蜜臀av | 亚洲欧美综合网| 久久精品一区四区| 精品久久久三级丝袜| 日韩三区在线观看| 欧美日韩一区二区三区不卡| 99免费精品在线观看| 国产·精品毛片| 成人免费毛片片v| 丁香婷婷综合网| 成人免费视频播放| 菠萝蜜视频在线观看一区| 大白屁股一区二区视频| 高清国产一区二区| 成人av免费观看| av激情综合网| 91国偷自产一区二区开放时间| 99久久国产免费看| 99久久er热在这里只有精品66| heyzo一本久久综合| 北岛玲一区二区三区四区| www.成人在线| 色诱视频网站一区| 欧美日韩不卡视频| 日韩三级免费观看| 国产午夜一区二区三区| 国产精品电影一区二区三区| ...av二区三区久久精品| 亚洲免费观看在线观看| 亚洲香肠在线观看| 美女视频第一区二区三区免费观看网站 | 国产精品影音先锋| 国产经典欧美精品| 91在线播放网址| 欧美日韩的一区二区| 日韩一区二区免费电影| 久久久av毛片精品| 亚洲欧美日韩国产另类专区| 亚洲亚洲精品在线观看| 精品制服美女丁香| 成人国产精品免费观看视频| 色噜噜狠狠一区二区三区果冻| 欧美伦理视频网站| 精品88久久久久88久久久| 亚洲欧洲成人精品av97| 午夜精品免费在线| 国产精品小仙女| 日本黄色一区二区| 精品人伦一区二区色婷婷| 国产精品国产自产拍在线| 亚瑟在线精品视频| 成人中文字幕电影| 欧美人与禽zozo性伦| 国产欧美一区二区精品仙草咪| 亚洲乱码国产乱码精品精的特点| 蜜臀av性久久久久蜜臀aⅴ四虎| 成人深夜福利app| 欧美二区三区91| 中文字幕一区二区三区av| 免费成人你懂的| 99精品桃花视频在线观看| 日韩美一区二区三区| 亚洲免费在线观看视频| 韩国一区二区在线观看| 欧美午夜寂寞影院| 日本一区免费视频| 蜜桃精品视频在线| 色哟哟一区二区| 国产欧美日韩三区| 亚洲福中文字幕伊人影院| 日韩精品一区二区三区中文精品| 亚洲视频一区二区在线观看| 黑人巨大精品欧美一区| 欧美日韩在线播放一区| 综合久久综合久久| 国产精品一区二区三区乱码| 欧美伦理影视网| 一区二区久久久久| 成人av在线资源网| 久久综合色之久久综合| 亚洲成av人影院| 在线免费亚洲电影| 亚洲欧美在线另类| 成人黄色一级视频| 久久久久久久网| 紧缚奴在线一区二区三区| 日韩一区二区在线看| 天天av天天翘天天综合网色鬼国产| 99精品国产视频| 国产精品美女视频| 国产精品一二三| 精品国产一区二区亚洲人成毛片| 日韩—二三区免费观看av| 欧美色偷偷大香| 亚洲一区二区av在线| 日本高清无吗v一区| 国产91精品一区二区麻豆网站| 香蕉加勒比综合久久| 欧美一区二区视频网站| 国产精品久久久久一区二区三区共| 亚洲一区二区中文在线| 在线免费观看日本一区| 亚洲视频一二区| 91日韩精品一区| 亚洲男女毛片无遮挡| 日本韩国欧美一区二区三区| 综合精品久久久| 欧美亚洲尤物久久| 亚洲成精国产精品女| 91精品国产高清一区二区三区| 日本美女一区二区三区视频| 欧美二区三区91| 麻豆精品久久精品色综合| 欧美精品一区男女天堂| 国产盗摄女厕一区二区三区| 欧美激情在线看| 91首页免费视频| 亚洲大片精品永久免费| 日韩一级片网址| 国产传媒欧美日韩成人| 亚洲丝袜自拍清纯另类| 欧美伊人精品成人久久综合97| 日韩中文字幕1| 精品国产凹凸成av人网站| 国产高清视频一区| 亚洲另类在线制服丝袜| 欧美日韩一卡二卡三卡| 久久精工是国产品牌吗| 欧美国产成人在线| 欧美色图免费看| 国产一区二区电影| 亚洲卡通动漫在线| 日韩欧美一级在线播放| 成人av动漫在线|