?? ncr.v
字號:
module ncr(
clk,
reset_n,
reset_s,
go,
done,
sd_clk_rising_edge,
sd_clk_hold,
);
input clk;
input reset_n;
input reset_s;
input go;
output done;
output sd_clk_hold;
input sd_clk_rising_edge;
parameter
ST_IDLE = 8'h00,
ST_INIT = 8'h01,
ST_WAIT = 8'h02,
ST_DONE = 8'h03;
reg [7:0] state, next;
reg [7:0] cnt_r;
always @(posedge clk or negedge reset_n)
if (!reset_n || reset_s) state <= ST_IDLE;
else state <= next;
always @(state or go or cnt_r)
begin
next = 'bx;
case (state)
ST_IDLE : if (go) next = ST_INIT;
else next = ST_IDLE;
ST_INIT : next = ST_WAIT;
ST_WAIT : if (cnt_r >= 8'h02)
next = ST_DONE;
else next = ST_WAIT;
ST_DONE : if (!go) next = ST_IDLE;
else next = ST_INIT;
endcase
end
assign sd_clk_hold = (next != ST_WAIT ? 1'b1 : 1'b0);
reg done_r;
always @(posedge clk or negedge reset_n)
if (!reset_n || reset_s)
begin
done_r <= 1'b1;
cnt_r <= 8'h00;
end else
case (next)
ST_INIT : begin
done_r <= 1'b0;
cnt_r <= 8'h00;
end
ST_WAIT : if (sd_clk_rising_edge)
cnt_r <= cnt_r + 8'h01;
ST_DONE : done_r <= 1'b1;
endcase
assign done = done_r && !go;
endmodule
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -