?? test_txsystem.v
字號:
`timescale 1ns / 1ps
module test_txsystem_v;
// Inputs
reg clock;
reg reset;
reg odd_noteven;
reg write;
reg [7:0] datain;
// Outputs
wire busy;
wire txdata;
// Instantiate the Unit Under Test (UUT)
txsys uut (
.clock(clock),
.reset(reset),
.odd_noteven(odd_noteven),
.write(write),
.datain(datain),
.busy(busy),
.txdata(txdata)
);
//generate a 32768Hz clock
initial
begin
forever begin
clock = 0;
#15259;
clock = 1;
#15259;
end
end
initial begin
reset = 1;
odd_noteven = 0;
write = 1;
datain = 8'h6A;
@(negedge clock); //wait for 1->0 on clock
reset = 0;
repeat (3) @(negedge clock); //wait for 3 (1->0)'s on clock
write = 0; //write data and start transmission
repeat (3) @(negedge clock);
write = 1;
@(negedge busy); //wait for 1->0 on busy
repeat (10) @(negedge clock);
$stop;
end
endmodule
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -