亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關(guān)于我們
? 蟲蟲下載站

?? kp_lcd.vhd

?? This is Keypad and LCD interface C code Tested on Sparton 3 xilinx FPGA.
?? VHD
字號:


---------------------------------------------------------------------------------------------------
--
-- Title       : kp_lcd
-- Design      : key_board_an
-- Author      : renuka
-- Company     : barc
--
---------------------------------------------------------------------------------------------------
--
-- File        : kp_lcd.vhd
-- Generated   : Thu Dec 29 14:29:56 2005
-- From        : interface description file
-- By          : Itf2Vhdl ver. 1.20
--
---------------------------------------------------------------------------------------------------
--
-- Description : 
--
---------------------------------------------------------------------------------------------------

--{{ Section below this comment is automatically maintained
--   and may be overwritten
--{entity {kp_lcd} architecture {struct}}

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use ieee.numeric_std.all;

--  Uncomment the following lines to use the declarations that are
--  provided for instantiating Xilinx primitive components.
library UNISIM;
use UNISIM.VComponents.all;


entity kp_lcd is
	port(
		sys_clk 		: 	in STD_LOGIC;
		sys_rst			: 	in STD_LOGIC;
--		SR 				: 	in STD_LOGIC_VECTOR(3 downto 0);
-- LCD INterface signal   .................................
		data_out 		: 	out STD_LOGIC_VECTOR(7 downto 0);
		en 				: 	out STD_LOGIC;
		r_s 			: 	out STD_LOGIC;
		r_w 			: 	out STD_LOGIC;

-- KeyBoard interface..........................................
		SC 				: 	in STD_LOGIC_VECTOR(3 downto 0);
		row_ip 			: 	out STD_LOGIC_VECTOR(3 downto 0);
		dout 			: 	out std_logic_vector(7 downto 0);
		dout_rdy 		: 	out std_logic;
		tx_busy 		:   in std_logic

		);
end kp_lcd;

--}} End of automatically maintained section

architecture struct of kp_lcd is	   	  
	component kb3 is
	generic(
		ctr5 : std_logic_vector(15 downto 0):= (others => '0');
		ctr20 : std_logic_vector(19 downto 0):= (others => '0')
	);
	port(
		sys_clk : in STD_LOGIC;
		sys_rst : in STD_LOGIC;
		SC : in  STD_LOGIC_VECTOR(3 downto 0);
		code_rdy : out STD_LOGIC;
		row_ip : out STD_LOGIC_VECTOR(3 downto 0);
		code_op : out STD_LOGIC_VECTOR(7 downto 0);
		cdout : out std_logic;
		fout : out std_logic_vector(3 downto 0)
		);
	end component;
	
	component lcd_kp_interface is
	generic(
		ctr2sec : std_logic_vector(23 downto 0):= (others => '0');
		ctr200 : std_logic_vector(11 downto 0):= (others => '0');
		ctr100 : std_logic_vector(11 downto 0):= (others => '0')
	);
	port(
		sys_clk : in STD_LOGIC;
		sys_rst : in STD_LOGIC;
		
		code : in STD_LOGIC_VECTOR(7 downto 0);
		code_rdy : in STD_LOGIC;
		
		clear : out STD_LOGIC;	
		delete : out std_logic;
		line_feed : out std_logic;
		send : out std_logic;
		lcd_data : out STD_LOGIC_VECTOR(7 downto 0);
		lcd_data_rdy : out STD_LOGIC;
		
		lcd_addr : out STD_LOGIC_VECTOR(7 downto 0);
		lcd_addr_rdy : out STD_LOGIC; 
		
		auth_word : in std_logic;
		
		addr_inc : out std_logic;
		addr_dec : out std_logic;


		Key : out std_logic_vector(15 downto 0)
		);
	end component;
	
	component lcd is
		generic(
			clk_ctr : std_logic_vector(11 downto 0):="000000000000"
		);
		Port ( 
			sys_clk : in std_logic;
			sys_rst : in std_logic;
			
			data_lcd : in std_logic_vector(7 downto 0);	  -- data coming to display
			data_lcd_rdy : in std_logic;				  -- data ready indicator
			
			addr : in std_logic_vector(7 downto 0);
			addr_rdy : in std_logic;
			
			data_out : out std_logic_vector(7 downto 0);	 -- data to lcd
			en : out std_logic;								 -- en signal to lcd
			r_w : out std_logic;							 -- r/w select to lcd
			r_s : out std_logic;							 -- data/ instruction select to lcd
			
			clear : in std_logic;								
			line_feed : in std_logic);
	end component;

	component buff is
	 port(
		 tx_busy : in STD_LOGIC;
		 send : in STD_LOGIC;
		 cmp : in STD_LOGIC;
		 UN : in STD_LOGIC;
		 PW : in STD_LOGIC;
		 GEN : in STD_LOGIC;
		 line_feed : in STD_LOGIC;
		 delete : in STD_LOGIC;
		 clear : in STD_LOGIC;
		 addr_rdy : in STD_LOGIC;
		 data_in_rdy : in STD_LOGIC;
		 sys_rst : in STD_LOGIC;
		 sys_clk : in STD_LOGIC;
		 data_in : in STD_LOGIC_VECTOR(7 downto 0);	  --given to write buffer.
--		 n_match : out STD_LOGIC;	 not using compare
--		 match : out STD_LOGIC;
		 end_cmd : out STD_LOGIC;
		 data_out_rdy : out STD_LOGIC;
		 data_out : out STD_LOGIC_VECTOR(7 downto 0)

			-- smart card reader releted ports............................
--		 scr_data_rdy : std_logic;
--		 scr_data : std_logic_vector(7 downto 0);

--		 led : out std_logic_vector(7 downto 0)
	     );
	end component;


--	constant ctr100 : std_logic_vector(15 downto 0) := X"1D4B";
--	constant ctr200 : std_logic_vector(15 downto 0) := X"3A97";
--	constant ctr2sec : std_logic_vector(27 downto 0):= X"8f0d17f";
--	constant ctr20 : std_logic_vector(21 downto 0):= "1000100101010100001111";
--	constant ctr5 : std_logic_vector(19 downto 0):= X"5b8d7";

	constant ctr100 : std_logic_vector(11 downto 0) := X"1F3";		 -- 100 us
	constant ctr200 : std_logic_vector(11 downto 0) := X"3E7";		 -- 200 us
	constant ctr2sec : std_logic_vector(23 downto 0):= X"98967F";	 -- 2sec
	constant ctr20 : std_logic_vector(19 downto 0):= X"249ef"; -- 20 ms
	constant ctr5 : std_logic_vector(15 downto 0):= X"61a7";	-- 5 ms
	
	--signal between kp & kp_lcd_interface
	signal rst1, rst2, rst3, rst4, rst5 : std_logic;
	constant logic1 : std_logic := '1';
	constant logic0 : std_logic := '0';
	signal code : std_logic_Vector(7 downto 0);
	signal code_rdy : std_logic;
	
	signal reset_ctr : std_logic_vector(2 downto 0);
	signal rst : std_logic;
	signal row_in, testctr : std_logic_vector(3 downto 0);
	-- signal between kp lcd_interface & lcd_new1 
	signal data_lcd, addr_lcd : std_logic_vector(7 downto 0);
	signal data_lcd_rdy, addr_lcd_rdy, clear, ready, line_feed, delete, send : std_logic; 
	signal keyr : std_Logic_vector(15 downto 0);
	signal fout : std_logic_vector(3 downto 0);
	signal end_cmd : std_Logic;
	signal cdout1 : std_logic;	 
	signal rst_kb_inter : std_logic;	
	signal Auth_word : std_Logic:= '0';
	signal data_rdy_d, data_rdy_d1, data_rdy_d2, data_rdy_d3 : std_Logic;
	signal data_rdy_d4 : std_Logic;
	-- signal to LCD_MOD --
	signal re : std_Logic;
	signal ikey1 : std_logic;
	signal send1,cmp1, init : std_logic; 
	signal iRst : std_logic;
	signal iSC : std_logic_vector(3 downto 0):= "1111";
	signal addr_inc, addr_dec : std_logic;
	--signal releted to buffer
	signal PW, UN, GEN : std_logic;
	signal cmp : std_logic;
	
begin
--	PULLUP1 : PULLUP port map (iRst);
	iRst <= sys_rst;
--	PULLUP3	: PULLDOWN port map (iSC(3));
--	PULLUP2 : PULLDOWN port map (iSC(2));
--	PULLUP4 : PULLDOWN port map (iSC(1));
--	PULLUP5 : PULLDOWN port map (iSC(0));
	iSC <= SC ;
--	PULLUP6 : PULLUP port map (ikey1);
--	ikey1 <= key_ip;

	KP_inter : kb3 generic map (ctr5, ctr20)
			port map (sys_clk, irst, iSC, code_rdy, row_ip, code, cdout1, fout);
	
	Inter : lcd_kp_interface generic map (ctr2sec, ctr200,ctr100)
			port map (sys_clk, irst, code, code_rdy, clear, delete, line_feed, send, 
			data_lcd, data_lcd_rdy, addr_lcd, addr_lcd_rdy, auth_word, addr_inc, addr_dec,  keyr);
	
	LCD1 : lcd generic map (ctr200)
			port map (sys_clk, irst, data_lcd, data_rdy_d1, addr_lcd, addr_lcd_rdy, data_out,
			en, r_w, r_s, clear, line_feed);

	Buffercomp : buff port map(tx_busy, send, cmp, UN, PW, GEN, line_feed, delete, clear, addr_lcd_rdy,
			data_rdy_d1, irst, sys_clk, data_lcd, end_cmd, dout_rdy, dout);

   	cmp <= '0';
	PW <= '0';
	UN <= '0';
	GEN <= '1';
	auth_word <= '0';
--	dout <= data_lcd;
--	dout_rdy <= data_rdy_d1;
    process(sys_clk, irst) begin
    if irst = '0' then
   		data_rdy_d <= '0';
		data_rdy_d1 <= '0';
		data_rdy_d2 <= '0';
		data_rdy_d3 <= '0';
		data_rdy_d4 <= '0';
	elsif sys_clk = '1' and sys_clk'event then
		data_rdy_d <= data_lcd_rdy;
		data_rdy_d1 <= data_rdy_d;
		data_rdy_d2 <= data_rdy_d1;
		data_rdy_d3 <= data_rdy_d2;
		data_Rdy_d4 <= data_rdy_d3;
	end if;
	end process;

end struct;

?? 快捷鍵說明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
国产精品久久看| 亚洲视频免费观看| 在线日韩国产精品| 国产精品亚洲第一| 午夜视频在线观看一区| 亚洲欧洲日韩女同| 精品久久久久久久久久久院品网| 91同城在线观看| 国产伦理精品不卡| 男女男精品网站| 亚洲国产日韩综合久久精品| 欧美国产欧美综合| 午夜视频一区二区| 亚洲特级片在线| 国产亚洲欧洲一区高清在线观看| 欧美老女人在线| 日本精品裸体写真集在线观看| 国产又黄又大久久| 麻豆国产精品视频| 图片区日韩欧美亚洲| 依依成人精品视频| 综合婷婷亚洲小说| 国产精品色一区二区三区| 精品少妇一区二区三区在线视频| 欧美精品在线视频| 欧美日精品一区视频| 色婷婷一区二区| 91毛片在线观看| 色综合天天综合给合国产| 成人av网址在线| 东方aⅴ免费观看久久av| 国产自产高清不卡| 精品一区二区三区在线播放视频| 午夜激情一区二区三区| 亚洲成人你懂的| 亚洲图片欧美色图| 亚洲国产精品自拍| 天天亚洲美女在线视频| 亚瑟在线精品视频| 天天影视网天天综合色在线播放| 午夜久久久久久电影| 丝袜脚交一区二区| 老司机一区二区| 精彩视频一区二区| 国产成人99久久亚洲综合精品| 国产成人免费高清| 99久久综合精品| 日本福利一区二区| 欧美日韩国产大片| 日韩一区二区免费在线电影| 日韩一二在线观看| 国产亚洲一区二区在线观看| 亚洲国产精品v| 国产精品免费看片| 一个色在线综合| 丝袜国产日韩另类美女| 久久成人久久鬼色| 懂色av一区二区三区免费观看 | 国产大陆a不卡| 国产suv精品一区二区883| 99久久精品国产毛片| 欧美综合欧美视频| 日韩欧美自拍偷拍| 国产日韩一级二级三级| 亚洲品质自拍视频| 日本伊人精品一区二区三区观看方式| 蜜臀精品久久久久久蜜臀| 国产一区二区日韩精品| 91女厕偷拍女厕偷拍高清| 欧美日本一道本| 久久久99精品久久| 亚洲一区二区五区| 老司机精品视频一区二区三区| 久久97超碰国产精品超碰| 9i看片成人免费高清| 欧美精品99久久久**| 日本伊人色综合网| 国产.欧美.日韩| 欧美日韩免费视频| 国产欧美日韩在线| 亚洲成人av一区| 国产suv精品一区二区三区| 欧美亚洲动漫精品| 国产三级一区二区| 亚洲va韩国va欧美va| 国产成人精品免费| 欧美主播一区二区三区| 国产亚洲欧美日韩日本| 午夜不卡av在线| 成人黄色一级视频| 日韩一区二区免费电影| 亚洲视频在线观看三级| 精彩视频一区二区| 欧美日韩一区二区欧美激情| 国产三级精品三级| 日本aⅴ亚洲精品中文乱码| 成人动漫在线一区| 精品嫩草影院久久| 亚洲线精品一区二区三区| 国产xxx精品视频大全| 正在播放亚洲一区| 国产精品国产三级国产aⅴ入口 | 91精品国产综合久久久久久久久久 | 午夜一区二区三区视频| 高清国产午夜精品久久久久久| 欧美伊人久久大香线蕉综合69 | 一本一道综合狠狠老| 久久综合999| 美国一区二区三区在线播放| 91国偷自产一区二区开放时间| 久久精品一区二区三区不卡 | 欧美影院午夜播放| 中文一区二区在线观看| 蜜乳av一区二区三区| 欧美午夜在线观看| 亚洲色图清纯唯美| 国产91丝袜在线播放0| 精品国产污污免费网站入口| 五月婷婷综合在线| 欧洲一区二区三区在线| 亚洲天堂网中文字| 成人国产精品免费观看视频| 久久久一区二区三区捆绑**| 久久精品国产**网站演员| 欧美猛男gaygay网站| 亚洲成av人影院| 欧美日韩电影在线播放| 亚洲自拍偷拍麻豆| 欧美在线一区二区三区| 亚洲精品乱码久久久久久日本蜜臀| www.亚洲精品| 综合av第一页| 色成年激情久久综合| 亚洲免费观看高清完整版在线观看| 成人av在线资源网站| 国产精品高潮呻吟久久| 成人免费视频一区| 成人欧美一区二区三区1314| 国产色产综合色产在线视频| 国产河南妇女毛片精品久久久| 久久久久久久精| 国产99精品视频| 国产精品传媒视频| 在线观看免费视频综合| 亚洲电影一级黄| 宅男噜噜噜66一区二区66| 免费观看91视频大全| 久久久综合视频| 北条麻妃一区二区三区| 亚洲尤物视频在线| 欧美男同性恋视频网站| 狠狠狠色丁香婷婷综合久久五月| 久久久久国产精品厨房| 成人ar影院免费观看视频| 亚洲色图一区二区三区| 欧美理论电影在线| 国内成人自拍视频| 国产精品美女视频| 欧美日韩视频不卡| 国产一区高清在线| 国产精品久久二区二区| 欧美性xxxxxx少妇| 精品一区二区三区蜜桃| 国产精品午夜在线| 欧美在线|欧美| 国产自产v一区二区三区c| 日韩一区日韩二区| 欧美一级日韩一级| 国产成人av在线影院| 一区二区三区不卡在线观看| 日韩欧美一卡二卡| 99精品国产视频| 美脚の诱脚舐め脚责91| 亚洲欧洲成人精品av97| 欧美日韩国产电影| 成人免费看的视频| 日一区二区三区| 国产免费久久精品| 欧美日韩视频第一区| 成人一级片在线观看| 五月天久久比比资源色| 日本一区二区在线不卡| 欧美精品aⅴ在线视频| 不卡一区二区在线| 秋霞av亚洲一区二区三| 亚洲色图.com| 久久久久国产精品麻豆ai换脸| 欧美性生活久久| 成人一区二区三区视频在线观看| 午夜在线成人av| 国产精品成人免费| 欧美成人精品高清在线播放| 91老师国产黑色丝袜在线| 国内精品国产三级国产a久久| 怡红院av一区二区三区| 中文字幕不卡一区| 久久日韩粉嫩一区二区三区| 欧美日韩精品免费观看视频| 成人黄色一级视频| 国产精品正在播放|