亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? djv1.htm

?? 基于FPGA的嵌入式機器人視覺識別系統模塊源代碼
?? HTM
字號:
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN">
<!-- saved from url=(0063)http://www.seattlerobotics.org/encoder/200601/article3/djv1.htm -->
<HTML><HEAD>
<META http-equiv=Content-Type content="text/html; charset=gb2312">
<META content="MSHTML 6.00.2900.3243" name=GENERATOR></HEAD>
<BODY text=#000000 vLink=#000000 aLink=#0000ff link=#0000b0 
bgColor=#008080><BASEFONT face=Arial color=#ffffff>
<CENTER>
<H2>A Color Vision System for Embedded Robotics Applications</H2></CENTER>
<CENTER>
<H2><A 
href="http://www.seattlerobotics.org/encoder/200601/article3/index.php#djv" 
target=main>Click here to return to article</CENTER></A>
<P>
<TABLE borderColor=#0080ff cellPadding=10 align=center 
background=djv1.files/grid.gif border=10>
  <TBODY>
  <TR>
    <TD><FONT color=black>
      <CENTER>
      <H4>Verilog FPGA Top Level</H4></CENTER>
      <P><PRE>


//=========================================================
//
//  DJ's video FPGA
//
//  Written By: Kenneth Y. Maxon - 03/17/2004
//
//=========================================================

module dj_vid_top(
	input wire [17:0] proc_addr,
	inout wire [7:0] proc_data,
	input wire proc_rw,
	output wire proc_irq,
	input wire proc_cs,
	input wire sys_clock,
	output wire test_led,

	inout wire [14:0] ram_data_io,
	output wire [19:1] ram_addr,
	output wire ram_we,
	output wire ram_oe,
	output wire ram_ce,

	output wire [14:0] disp_data,
	output wire disp_hsync,
	output wire disp_vsync,
	output wire disp_enable,
	output wire disp_clk,

	input wire video1_llc,
	input wire video1_hsync,
	input wire video1_vsync,
	input wire [14:0] video1_raw
	);

parameter DISPLAY_TOP_ADDR = 18'd153600;
parameter LED_ADDRESS = DISPLAY_TOP_ADDR + 18'h00001;
parameter RESET_ADDRESS = DISPLAY_TOP_ADDR + 18'h00002;
parameter DISPLAY_READY = DISPLAY_TOP_ADDR + 18'h00003;
parameter DISPLAY_STATUS = DISPLAY_TOP_ADDR + 18'h00004;
parameter BEGIN_CAP = DISPLAY_TOP_ADDR + 18'h00005;
parameter CLEAR_FIFO_ERROR = DISPLAY_TOP_ADDR + 18'h00006;
parameter VIDEO1_RED_UPPER = DISPLAY_TOP_ADDR + 18'h00007;
parameter VIDEO1_RED_LOWER = DISPLAY_TOP_ADDR + 18'h00008;
parameter VIDEO1_GREEN_UPPER = DISPLAY_TOP_ADDR + 18'h00009;
parameter VIDEO1_GREEN_LOWER = DISPLAY_TOP_ADDR + 18'h0000A;
parameter VIDEO1_BLUE_UPPER = DISPLAY_TOP_ADDR + 18'h0000B;
parameter VIDEO1_BLUE_LOWER = DISPLAY_TOP_ADDR + 18'h0000C;
parameter BLOBX1 = DISPLAY_TOP_ADDR + 18'h0000D;
parameter BLOBX2 = DISPLAY_TOP_ADDR + 18'h0000E;
parameter BLOBX3 = DISPLAY_TOP_ADDR + 18'h0000F;
parameter BLOBY1 = DISPLAY_TOP_ADDR + 18'h00011;
parameter BLOBY2 = DISPLAY_TOP_ADDR + 18'h00012;
parameter BLOBY3 = DISPLAY_TOP_ADDR + 18'h00013;

//-------------- local vars
reg test_led_reg;
reg [1:0] qual_counter;
wire qual_data;
wire force_reset;
//-------------- display vars
reg [7:0] proc_data_lower;
wire enable_disp_irq;
wire [17:0] disp_data_get_addr;
//-------------- RAM Scheduler
wire disp_data_get_strb;
wire fifo_error;
reg disp_ping_pong;
//-------------- video1 variables
reg [4:0] video1_red_upper;
reg [4:0] video1_red_lower;
reg [4:0] video1_green_upper;
reg [4:0] video1_green_lower;
reg [4:0] video1_blue_upper;
reg [4:0] video1_blue_lower;

wire [17:0] video1_addr;
wire [14:0] video1_data;
wire video1_store_strb;
wire [3:0] debug_state;
//-------------- capture module variables
wire blob_capture_done;
wire [23:0] blob1_x_out;
wire [23:0] blob1_y_out;
reg vid_cap_done;
wire data_valid;
//-------------- processor data output module variables
wire d_path7,d_path6,d_path5,d_path4,d_path3,d_path2,d_path1,d_path0;

//==============================================================
//
//  Mains Section:
//
//==============================================================

assign #1 proc_irq = 1'b1;

assign #1 qual_data = ((qual_counter[1:0] == 2'b01) &amp;&amp; (~proc_rw));

assign #1 force_reset = (qual_data &amp;&amp; (proc_addr[17:0] == RESET_ADDRESS));

always @(posedge sys_clock)
begin
	if(proc_cs)
		qual_counter[1:0] &lt;= #1 2'b00;
	else
		qual_counter[1:0] &lt;= qual_counter[1:0] + 2'b01;
end

assign #1 test_led = test_led_reg;

always @(posedge sys_clock)
	if((qual_data) &amp;&amp; (proc_addr[17:0] == LED_ADDRESS))
		test_led_reg &lt;= #1 proc_data[0];

//==============================================================
//
//  RAM Scheduler
//
//==============================================================

ram_scheduler my_sched(
			.sys_clock(sys_clock),
			.force_reset(force_reset),

			.proc_data_w({proc_data[6:0],proc_data_lower[7:0]}),  //defines 15 not 16 bits
			.qual2_data(qual_data &amp;&amp; (proc_addr[17:0] &lt; DISPLAY_TOP_ADDR) &amp;&amp; ~proc_addr[0]),
			.proc_addr(proc_addr[17:1]),

			.disp_data_get_addr(disp_data_get_addr[17:0]),
			.disp_data_get_strb(disp_data_get_strb),
			.disp_data(disp_data[14:0]),

			.video1_addr(video1_addr[17:0]),
			.video1_data(video1_data[14:0]),
			.video1_store_strb(video1_store_strb),

			.disp_ping_pong(disp_ping_pong),

			.ram_we(ram_we),
			.ram_oe(ram_oe),
			.ram_ce(ram_ce),
			.ram_addr(ram_addr[19:1]),
			.ram_data_io(ram_data_io[14:0]),
			
			.fifo_error(fifo_error),
			.clear_fifo_error((qual_data) &amp;&amp; (proc_addr[17:0] == CLEAR_FIFO_ERROR))
			);

always @(posedge sys_clock)
	if (force_reset)
		disp_ping_pong &lt;= #1 1'b0;
	else if ((qual_data) &amp;&amp; (proc_addr[17:0] == DISPLAY_READY) &amp;&amp; (proc_data[0]))
     	disp_ping_pong &lt;= #1 ~disp_ping_pong;

//==============================================================
//
//  Display interfaces
//
//==============================================================

always @(posedge sys_clock)
begin
	if(force_reset)
		proc_data_lower[7:0] &lt;= 8'h00;
	else if(qual_data &amp;&amp; (proc_addr[17:0] &lt; DISPLAY_TOP_ADDR) &amp;&amp; proc_addr[0])
		proc_data_lower[7:0] &lt;= #1 proc_data[7:0];
end

disp_driver my_disp_driver(
			.proc_clock(sys_clock),
			.force_reset(force_reset),
			.disp_irq(enable_disp_irq),

			.addr_index_count(disp_data_get_addr[17:0]),
			
			.disp_hsync(disp_hsync),
			.disp_vsync(disp_vsync),
			.disp_enable(disp_enable),
			.disp_clk(disp_clk),
			.disp_data_get_strb(disp_data_get_strb)
			);

//==============================================================
//
//  Video Cap Interface
//
//==============================================================

always @(posedge sys_clock)
begin
	if ((qual_data) &amp;&amp; (proc_addr[17:0] == VIDEO1_RED_UPPER))
		video1_red_upper[4:0] &lt;= #1 proc_data[4:0];
	if ((qual_data) &amp;&amp; (proc_addr[17:0] == VIDEO1_RED_LOWER))
		video1_red_lower[4:0] &lt;= #1 proc_data[4:0];
	if ((qual_data) &amp;&amp; (proc_addr[17:0] == VIDEO1_GREEN_UPPER))
		video1_green_upper[4:0] &lt;= #1 proc_data[4:0];
	if ((qual_data) &amp;&amp; (proc_addr[17:0] == VIDEO1_GREEN_LOWER))
		video1_green_lower[4:0] &lt;= #1 proc_data[4:0];
	if ((qual_data) &amp;&amp; (proc_addr[17:0] == VIDEO1_BLUE_UPPER))
		video1_blue_upper[4:0] &lt;= #1 proc_data[4:0];
	if ((qual_data) &amp;&amp; (proc_addr[17:0] == VIDEO1_BLUE_LOWER))
		video1_blue_lower[4:0] &lt;= #1 proc_data[4:0];
end

assign #1 data_valid = (
			(video1_raw[14:10] &lt;= video1_red_upper[4:0]) &amp;&amp;
			(video1_raw[14:10] &gt;= video1_red_lower[4:0]) &amp;&amp;
			(video1_raw[9:5] &lt;= video1_green_upper[4:0]) &amp;&amp;
			(video1_raw[9:5] &gt;= video1_green_lower[4:0]) &amp;&amp;
			(video1_raw[4:0] &lt;= video1_blue_upper[4:0]) &amp;&amp;
			(video1_raw[4:0] &gt;= video1_blue_lower[4:0]));

video_capture my_vid_cap1(
			.sys_clock(sys_clock),
			.force_reset(force_reset || ((qual_data) &amp;&amp; (proc_addr[17:0] == CLEAR_FIFO_ERROR))),

			.begin_capture((qual_data) &amp;&amp; (proc_addr[17:0] == BEGIN_CAP)),

			.video1_addr(video1_addr[17:0]),
			.video1_data_filtered(video1_data[14:0]),
			.video1_store_strb(video1_store_strb),

			.video1_llc(video1_llc),
			.video1_hsync(video1_hsync),
			.video1_vsync(video1_vsync),
			.video1_raw(video1_raw[14:0]),

			.blob1_x_out(blob1_x_out[23:0]),
			.blob1_y_out(blob1_y_out[23:0]),
			.blob_capture_done(blob_capture_done),
			.data_valid(data_valid),

			.debug_state(debug_state[3:0])
			);

always @(posedge sys_clock)
	if((qual_data) &amp;&amp; (proc_addr[17:0] == BEGIN_CAP))
		vid_cap_done &lt;= #1 1'b0;
	else if(blob_capture_done)
		vid_cap_done &lt;= #1 1'b1;

//==============================================================
//
//  Processor Data Buss Output Section
//
//==============================================================

assign #1 d_path7 =
			(((proc_addr[17:0] == BLOBX1) &amp;&amp; (blob1_x_out[7])) ||
			((proc_addr[17:0] == BLOBX2) &amp;&amp; (blob1_x_out[15])) ||
			((proc_addr[17:0] == BLOBX3) &amp;&amp; (blob1_x_out[23])) ||
			((proc_addr[17:0] == BLOBY1) &amp;&amp; (blob1_y_out[7])) ||
			((proc_addr[17:0] == BLOBY2) &amp;&amp; (blob1_y_out[15])) ||
			((proc_addr[17:0] == BLOBY3) &amp;&amp; (blob1_y_out[23])) ||
			((proc_addr[17:0] == DISPLAY_STATUS) &amp;&amp; (debug_state[3])));
			
assign #1 d_path6 = 
			(((proc_addr[17:0] == BLOBX1) &amp;&amp; (blob1_x_out[6])) ||
			((proc_addr[17:0] == BLOBX2) &amp;&amp; (blob1_x_out[14])) ||
			((proc_addr[17:0] == BLOBX3) &amp;&amp; (blob1_x_out[22])) ||
			((proc_addr[17:0] == BLOBY1) &amp;&amp; (blob1_y_out[6])) ||
			((proc_addr[17:0] == BLOBY2) &amp;&amp; (blob1_y_out[14])) ||
			((proc_addr[17:0] == BLOBY3) &amp;&amp; (blob1_y_out[22])) ||
			((proc_addr[17:0] == DISPLAY_STATUS) &amp;&amp; (debug_state[2])));
			
assign #1 d_path5 = 
			(((proc_addr[17:0] == BLOBX1) &amp;&amp; (blob1_x_out[5])) ||
			((proc_addr[17:0] == BLOBX2) &amp;&amp; (blob1_x_out[13])) ||
			((proc_addr[17:0] == BLOBX3) &amp;&amp; (blob1_x_out[21])) ||
			((proc_addr[17:0] == BLOBY1) &amp;&amp; (blob1_y_out[5])) ||
			((proc_addr[17:0] == BLOBY2) &amp;&amp; (blob1_y_out[13])) ||
			((proc_addr[17:0] == BLOBY3) &amp;&amp; (blob1_y_out[21])) ||
			((proc_addr[17:0] == DISPLAY_STATUS) &amp;&amp; (debug_state[1])));
			
assign #1 d_path4 = 
			(((proc_addr[17:0] == BLOBX1) &amp;&amp; (blob1_x_out[4])) ||
			((proc_addr[17:0] == BLOBX2) &amp;&amp; (blob1_x_out[12])) ||
			((proc_addr[17:0] == BLOBX3) &amp;&amp; (blob1_x_out[20])) ||
			((proc_addr[17:0] == BLOBY1) &amp;&amp; (blob1_y_out[4])) ||
			((proc_addr[17:0] == BLOBY2) &amp;&amp; (blob1_y_out[12])) ||
			((proc_addr[17:0] == BLOBY3) &amp;&amp; (blob1_y_out[20])) ||
			((proc_addr[17:0] == DISPLAY_STATUS) &amp;&amp; (debug_state[0])));
			
assign #1 d_path3 = 
			(((proc_addr[17:0] == BLOBX1) &amp;&amp; (blob1_x_out[3])) ||
			((proc_addr[17:0] == BLOBX2) &amp;&amp; (blob1_x_out[11])) ||
			((proc_addr[17:0] == BLOBX3) &amp;&amp; (blob1_x_out[19])) ||
			((proc_addr[17:0] == BLOBY1) &amp;&amp; (blob1_y_out[3])) ||
			((proc_addr[17:0] == BLOBY2) &amp;&amp; (blob1_y_out[11])) ||
			((proc_addr[17:0] == BLOBY3) &amp;&amp; (blob1_y_out[19])) ||
			((proc_addr[17:0] == DISPLAY_STATUS) &amp;&amp; (fifo_error)));
			
assign #1 d_path2 = 
			(((proc_addr[17:0] == BLOBX1) &amp;&amp; (blob1_x_out[2])) ||
			((proc_addr[17:0] == BLOBX2) &amp;&amp; (blob1_x_out[10])) ||
			((proc_addr[17:0] == BLOBX3) &amp;&amp; (blob1_x_out[18])) ||
			((proc_addr[17:0] == BLOBY1) &amp;&amp; (blob1_y_out[2])) ||
			((proc_addr[17:0] == BLOBY2) &amp;&amp; (blob1_y_out[10])) ||
			((proc_addr[17:0] == BLOBY3) &amp;&amp; (blob1_y_out[18])) ||
			((proc_addr[17:0] == DISPLAY_STATUS) &amp;&amp; (disp_ping_pong)));
			
assign #1 d_path1 = 
			(((proc_addr[17:0] == BLOBX1) &amp;&amp; (blob1_x_out[1])) ||
			((proc_addr[17:0] == BLOBX2) &amp;&amp; (blob1_x_out[9])) ||
			((proc_addr[17:0] == BLOBX3) &amp;&amp; (blob1_x_out[17])) ||
			((proc_addr[17:0] == BLOBY1) &amp;&amp; (blob1_y_out[1])) ||
			((proc_addr[17:0] == BLOBY2) &amp;&amp; (blob1_y_out[9])) ||
			((proc_addr[17:0] == BLOBY3) &amp;&amp; (blob1_y_out[17])) ||
			((proc_addr[17:0] == DISPLAY_STATUS) &amp;&amp; (enable_disp_irq)));
			
assign #1 d_path0 = 
			(((proc_addr[17:0] == BLOBX1) &amp;&amp; (blob1_x_out[0])) ||
			((proc_addr[17:0] == BLOBX2) &amp;&amp; (blob1_x_out[8])) ||
			((proc_addr[17:0] == BLOBX3) &amp;&amp; (blob1_x_out[16])) ||
			((proc_addr[17:0] == BLOBY1) &amp;&amp; (blob1_y_out[0])) ||
			((proc_addr[17:0] == BLOBY2) &amp;&amp; (blob1_y_out[8])) ||
			((proc_addr[17:0] == BLOBY3) &amp;&amp; (blob1_y_out[16])) ||
			((proc_addr[17:0] == DISPLAY_STATUS) &amp;&amp; (vid_cap_done)));
			
assign #1	proc_data[7:0] = 
			((~proc_cs) &amp;&amp; (proc_rw) &amp;&amp;
			((proc_addr[17:0] == DISPLAY_STATUS) ||
			(proc_addr[17:0] == BLOBX1) ||
			(proc_addr[17:0] == BLOBX2) ||
			(proc_addr[17:0] == BLOBX3) ||
			(proc_addr[17:0] == BLOBY1) ||
			(proc_addr[17:0] == BLOBY2) ||
			(proc_addr[17:0] == BLOBY3))) ? 
			{d_path7,d_path6,d_path5,d_path4,d_path3,d_path2,d_path1,d_path0} :
			8'bZZZZZZZZ;

endmodule
</PRE></FONT></TR></TBODY></TABLE>
<P>
<CENTER>
<H2><A 
href="http://www.seattlerobotics.org/encoder/200601/article3/index.php#djv" 
target=main>Click here to return to 
article</CENTER></A></H2></H2></BASEFONT></BODY></HTML>

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
91.麻豆视频| 亚洲影视在线观看| 亚洲日本青草视频在线怡红院| 亚洲午夜电影网| 成人一级黄色片| 欧美一区二区三区免费观看视频| 中文字幕精品一区二区精品绿巨人| 午夜精品久久久久久久99樱桃| 成人高清免费观看| 亚洲精品一区二区三区在线观看| 午夜视频在线观看一区二区 | 捆绑调教美女网站视频一区| 色综合网色综合| 国产视频不卡一区| 精品无码三级在线观看视频| 欧美日韩中文国产| 亚洲人成影院在线观看| 成人免费看黄yyy456| 精品久久久久香蕉网| 日本不卡高清视频| 欧美人与禽zozo性伦| 一级中文字幕一区二区| 91视频www| 亚洲日本一区二区| 91免费版在线看| 亚洲欧美日韩国产手机在线| av资源网一区| 综合久久给合久久狠狠狠97色| 日本特黄久久久高潮| 欧美人动与zoxxxx乱| 五月天一区二区三区| 在线不卡一区二区| 欧美a一区二区| 精品国产乱码久久久久久久久| 久久69国产一区二区蜜臀 | 97久久精品人人做人人爽50路 | 成人激情午夜影院| 日本一区二区三区四区| 成人精品视频一区二区三区尤物| 国产欧美日韩精品a在线观看| 国产成人av一区二区三区在线观看| 精品女同一区二区| 国产馆精品极品| 中文字幕精品三区| 91日韩精品一区| 亚洲一区二区三区四区五区黄| 91精品福利在线| 三级在线观看一区二区| 日韩欧美国产综合| 国产精品1区2区3区| 国产精品久久久久7777按摩| 一本大道久久a久久精二百| 亚洲国产精品综合小说图片区| 69久久夜色精品国产69蝌蚪网| 美女视频一区在线观看| 精品久久国产老人久久综合| 国产精品一区二区在线观看网站| 国产精品欧美一级免费| 在线观看一区日韩| 韩国av一区二区三区四区| 国产精品久久久久久亚洲毛片| 91久久精品一区二区三| 裸体一区二区三区| 国产精品热久久久久夜色精品三区| 欧美综合久久久| 国产女主播在线一区二区| 91麻豆精品国产自产在线| 老司机精品视频一区二区三区| 国产色产综合产在线视频| 色八戒一区二区三区| 欧美一个色资源| 国产91精品欧美| 亚州成人在线电影| 国产农村妇女毛片精品久久麻豆| 91黄视频在线观看| 精品综合久久久久久8888| 蜜乳av一区二区三区| 欧美激情中文不卡| 欧美日本国产视频| 97成人超碰视| 激情综合网最新| 亚洲成a人v欧美综合天堂| 中文字幕成人av| 欧美v国产在线一区二区三区| 一本色道久久综合亚洲aⅴ蜜桃| 久久精品国产免费| 午夜在线成人av| 亚洲免费伊人电影| 欧美国产成人在线| 久久亚洲影视婷婷| 欧美精品少妇一区二区三区| 99久久精品一区| 国产一区二区视频在线| 免费成人美女在线观看| 亚洲综合成人网| 日韩理论片在线| 国产性色一区二区| 精品久久国产老人久久综合| 欧美精品九九99久久| 欧美三级三级三级| 色综合久久久久久久久| 不卡的看片网站| 成人在线视频首页| 国产一区二区三区四区在线观看| 婷婷综合久久一区二区三区| 亚洲国产一二三| 亚洲一区二区综合| 亚洲最新视频在线播放| 亚洲欧洲综合另类| 亚洲人亚洲人成电影网站色| 17c精品麻豆一区二区免费| 国产欧美精品区一区二区三区| 久久蜜臀精品av| 久久噜噜亚洲综合| 国产亚洲精品超碰| 国产清纯美女被跳蛋高潮一区二区久久w| 精品少妇一区二区三区视频免付费| 91精品国产欧美一区二区18| 91精品国产综合久久国产大片| 91精品麻豆日日躁夜夜躁| 777久久久精品| 日韩一级黄色大片| 欧美精品一区二区三区蜜桃视频| 日韩欧美你懂的| 久久网这里都是精品| 欧美国产综合色视频| 国产精品久久久一区麻豆最新章节| 国产精品国产三级国产aⅴ入口 | 欧美日韩国产经典色站一区二区三区| 欧美中文字幕一区二区三区| 日韩一级成人av| 欧美一区二区三区免费| 久久综合久久综合久久| 亚洲国产精品精华液2区45| 综合久久综合久久| 三级久久三级久久久| 精品一区二区三区影院在线午夜| 国产成人免费视频一区| 91一区二区在线观看| 7777精品伊人久久久大香线蕉完整版 | 国产成人精品亚洲午夜麻豆| 成人黄色软件下载| 欧美在线看片a免费观看| 3d动漫精品啪啪一区二区竹菊| 久久久噜噜噜久久中文字幕色伊伊| 中文字幕二三区不卡| 亚洲大尺度视频在线观看| 精久久久久久久久久久| 91在线精品一区二区三区| 欧美放荡的少妇| 日本一区二区三区视频视频| 一区二区欧美精品| 狠狠网亚洲精品| 在线亚洲免费视频| 国产亚洲欧美日韩日本| 亚洲一区二区成人在线观看| 国内精品久久久久影院色| 在线精品视频免费观看| 精品福利在线导航| 亚洲中国最大av网站| 国产乱码一区二区三区| 欧美综合视频在线观看| 久久久久99精品一区| 亚洲成人av电影| 波多野结衣在线一区| 日韩欧美国产不卡| 一片黄亚洲嫩模| 成人激情免费电影网址| 欧美一级一级性生活免费录像| 国产精品传媒入口麻豆| 久久草av在线| 欧美亚洲综合另类| 国产精品污网站| 蜜桃视频免费观看一区| 欧美色图12p| 一区二区中文视频| 国产伦精品一区二区三区在线观看| 91极品美女在线| 专区另类欧美日韩| 盗摄精品av一区二区三区| 91精品国产色综合久久| 亚洲国产精品麻豆| 97久久精品人人爽人人爽蜜臀| 久久亚区不卡日本| 乱中年女人伦av一区二区| 91.成人天堂一区| 亚洲v中文字幕| 色噜噜偷拍精品综合在线| 国产精品天天看| 国产精品亚洲一区二区三区在线| 日韩亚洲欧美中文三级| 午夜成人免费电影| 欧美色精品天天在线观看视频| 亚洲三级免费观看| 一本在线高清不卡dvd| 国产精品白丝在线| 91网站视频在线观看| 亚洲精品一卡二卡| 欧美主播一区二区三区| 亚洲一区在线观看网站|