?? buzzer_test.vhd
字號:
--** 蜂鳴器測試程序
--文件名:buzzer_test.vhd
--功 能:蜂鳴器發聲
--說 明:當接通電源、下載程序后蜂鳴器以恒定的頻率發出蜂鳴聲;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity buzzer_test is
Port (clk : in std_logic; --50MHz的頻率;
buzzer : out std_logic ); --蜂鳴器的輸入端;
end buzzer_test;
architecture Behavioral of buzzer_test is
begin
process(clk)
variable cnt : integer range 0 to 50000;
begin
if clk'event and clk='1' then cnt:=cnt+1;
if cnt<25000 then buzzer<='1';
elsif cnt<50000 then buzzer<='0';
else cnt:=0;buzzer<='0';
end if;
end if;
end process;
end Behavioral;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -