亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? changes

?? Verilog Parser in Perl
??
?? 第 1 頁 / 共 2 頁
字號:
***	SigParser and the Netlister now ignore function and task IOs.****	Preproc line numbers being off due to multi-line defines.  [Mat Zeno]****	Fix `include `DEFINE.* Verilog::Language 2.341 2006/02/06***	Add SystemVerilog `0, `1, `x, `z.  [John Tseng]****	Fix module #() parameter declarations.  [Andy Kuo]****	Fix "output reg" and "output wire" declarations.  [Andy Kuo]* Verilog::Language 2.340 2006/01/16***     Added vpm --minimum switch.****	Added Verilog::Language::language_standard to allow setting	which language standard (1995,2001,SystemVerilog) is used for keywords.****	Fix vhier -o option. [Sean Nazareth]****	Add vhier --modules and --missing-modules options. [Sean Nazareth]* Verilog::Language 2.331 2005/10/05***     Added vhier example program. [Vasu Arasanipalai]* Verilog::Language 2.330 2005/09/06***	vpm now aliases $error to $uerror, etc, to avoid conflict	with SystemVerilog $error function.  [Tad Truex]***	$uassert_info now uses __message_on. [Vasu Arasanipalai]****	Fix preprocessor substitution of quoted parametrized defines.* Verilog::Language 2.321 2005/08/03***	Verilog::SigParser now sees cells inside generates.  [by Thomas Ziller]* Verilog::Language 2.320 2005/07/27***     Add vrename --cryptall option.***     Fix Language is_keywords to match V2K language spec. [Mark Grossman]	Deleted extern, makefile, supply.  Added ifnone, strength, unsigned.****	Fix core dump when missing newline in `define.  [David van der bokke]* Verilog::Language 2.316 2005/06/10****	Fix define substitution with incomplete defines.  [by Ronald Dean Smith]****	Fix C++ Comments causing Perl compile problems. [Merijn Brand]* Verilog::Language 2.315 2005/03/16****	Support for latest SystemC::Netlist version.* Verilog::Language 2.314 2005/03/14****	Support for latest SystemC::Netlist version.* Verilog::Language 2.313 2005/03/01***	Vrename no longer recurses into CVS or .svn directories.***	Add specparam keyword. [Mark Grossman]****	Add NC-Verilog, and Verilog::Parser tests.* Verilog::Language 2.312 2005/02/04***	Fix ignoring lines with same line number as end of last include.* Verilog::Language 2.311 2005/01/27***	Support parsing of signed numbers.  [Rudi Rughoonundon]**** 	Fix resolve_filename misfinding directories. [John Tseng]****    Fix Verilog::Getopt::get_parameters for NC-Verilog.* Verilog::Language 2.310 2005/01/24**	NEWS is now renamed Changes, to support CPAN indexing. [Offer Kaye]**	Support Verilog 2001 ansi-style port declarations. [Rudi Rughoonundon]**	Pins, nets, ports, and cells accessor methods now return lists	rather than internal hash references.  This matches earlier	documentation, and behavior of the pins_sorted, etc functions.***	SigParser::module callback no longer gets list of ports, instead	SigParser::port is called back on each port.***	Add Verilog::GetOpt GCC -U<define> switch for undefining.****	Support SUSE Linux and OS-X. [Jose Renau]* Verilog::Language 2.303 2004/11/18***	Add vpm --nopli for stripping $pli calls. [Mike Lopresti]* Verilog::Language 2.302 2004/11/10****	Support Verilog 2001 named instantiation parameters. [Thomas Ziller]* Verilog::Language 2.301 2004/10/26****	Fix pod documentation errors. [Offer Kaye]* Verilog::Language 2.300 2004/04/01**	Added vppp preprocessor command.**	Preprocessor is now Verilog 2001 and SystemVerilog 3.1 compliant.	Adds arguments to defines, and `include <> syntax.**	Added SystemVerilog 3.1 keywords to Verilog::Language**	Added vrename --keywords and recursion on directory arguments.***	Added to SigParser::module callback "$in_celldefine" 4th argument.	Netlist::File sets $module->is_libcell() either if the file is a	library or the module is within "`celldefine ... `endcelldefine".***	Added to Verilog::Netlist (metacomment=>{ firstWord=>val, ... })	argument.  For each comment that begins with at least two words,	Verilog::SigParser calls back attribute() if the first word has a	true value in %metacomment.***	Module::attrs_sorted() now returns a list of "category name[ =]..."	strings from metacomments between "module" and the first declaration.****	(Verilog::Preproc receives the list of metacomment keywords but	does not yet filter the comments for speed.)****	Fixed ` substitution inside define value strings.* Verilog::Language 2.232 2004/3/10***	Fix newline insertion in vpm $info messages.* Verilog::Language 2.231 2004/1/27****	Documentation fixes.* Verilog::Language 2.230 2003/10/02**	Vpm has been changed to use Verilog standard flags.	Vpm will no longer recurse all directories, instead it now accepts	+incdir+, -v or -f flags as would a regular simulator, and	preprocesses all files found.**	Added Netlist::verilog_text for writing netlists. [Phillip Prentice]***	Added Cell/Port/Pin::delete methods for editing netlists.***	Added Netlist::top_modules_sorted method.***	In Netlist, read in library files if cell not found. [John Potter]***	Fix SigParser dropping 1'b0/1'b1 pins. [John Potter]***	In vpm, support $error({"concatenate ","string"}); [Ray Strouble]****	In vpm, fix comments and line numbering in asserts. [Ray Strouble]****	Fix detection of wire assignments. [David Duxstad]* Verilog::Language 2.226 2003/8/19****	GCC 3.3 fixes* Verilog::Language 2.225 2003/8/12***	Have Getopt::parameter return unknown arguments from	inside -f files.  [David Duxstad]***	Change assert_amone/onehot to use faster equation	in place of case statement. [Greg Waters]****	Add tri/tri0/tri1 as wire declarative terms. [David Duxstad]****	Redhat 9 and GCC 3.2.2 fixes* Verilog::Language 2.224 2003/5/20**	Add order based pin/cell connections.  [by David Duxstad]* Verilog::Language 2.222 2003/3/6****	Support instantiations with multiple cell names. [Bruce Nepple]****	Support uppercase radix letters.  [Wilson Li]* Verilog::Language 2.221 2003/3/4****	Fix missing example.cpp file* Verilog::Language 2.220 2003/2/6***	Support primitives as if they were modules.  [Bruce Nepple]***	The link_read_nonfatal=>1 netlist option will prevent missing	modules from being errors during link.  [Bruce Nepple]***	Add Verilog::Parser support for `protected. [Scott Bleiweiss]****	Update documentation & Netlist example.  [Bruce Nepple]* Verilog::Language 2.220 2002/12/27****	Solaris perl 5.005_03 LD error fixed.  [Mark Moe]	Solaris note about FILE_OFFSET_BITS.  [Simon Curry]****	GCC 3.2 use std compile errors fixed. [Eugene Weber]* Verilog::Language 2.214 2002/10/21***	Pickup input msb & lsb's.  [Joel Earl]****	Fix inclusion of x's in $assert_onehot for verilator. [Ray Strouble]* Verilog::Language 2.213 2002/9/5****	Support Cygwin (Windows) installations.  [Richard Dje]* Verilog::Language 2.212 2002/8/30***	Fix pin concatenations to not create false pins. [Kenneth Jiang]	Concatenations are now just ignored; there is still no way to track	pin interconnects where different bus bits end up interconnected	differently.* Verilog::Language 2.211 2002/8/19***	If Verilog::Getopt list accessors are passed a reference,	set the entire list to the reference, rather than adding a element.* Verilog::Language 2.210 2002/8/8****	Cleanups to support GNU Bison 1.35****	Minor changes for SystemC support* Verilog::Language 2.200 2002/5/3***	Many fixes to vrename --crypt, including fixing `timescale,	comments, and replacement of strings.  [Greg Davis]****	Fixed vpm $asserts dropping extra newlines. [Greg Waters]****	Fixed `define substitution bug.* Verilog::Language 2.100 2002/3/11**	Installation now requires GCC/G++ and Flex.**	Added Verilog::Preproc, a Verilog 2001 Preprocessor.	Verilog::Netlist now uses this preprocessor by default.****	Fixed bug with vrename --crypt not working.  [Greg Davis]****	Fixed bug with vrename and \ quoted signals.  [Greg Davis]* Verilog::Language 2.010 2001/11/16***	Added netlist interconnectivity checks.* Verilog::Language 2.000 2001/9/17**	Added the Verilog::Netlist package.	This allows for simple scripts to extract pins, module	hierarchy, etc from interconnected Verilog files.***	Added Parser reset() method for clearing parse states	for new files.  [Joe Panec]* Verilog::Language 1.15 2001/10/25**	Added $assert_req_ack for checking simple handshakes.**	Added --nostop, and made --stop be the default.	This adds a $stop to $warn and $error, which is easier	for new users to understand as no pli.v is required.* Verilog::Language 1.14 2001/9/17***	Fixed bug when endmodule/endtask/endfunction have	no trailing ;.  [Darren Jones]***	Added Verilog 2001 keywords to Verilog::Language.* Verilog::Language 1.13 2001/5/17***	Added Verilog::Getopt::get_parameter() function.***	Added Verilog::Getopt::file_abs() function.***	Added missing keywords to Verilog::Language:	deassign disable extern highz0 highz1 large medium pull0	pull1 release scalared small strong0 strong1 weak0 weak1* Verilog::Language 1.12 2001/5/15**	Added new Verilog::Getopt, for standard option parsing.* Verilog::Language 1.11 2001/3/31***	Fixed \net### hang in Parser.  [Mark Lakata]* Verilog::Language 1.10 2001/3/15***	Fixed line number being incorrect in Parser.  [Alan Heinold]* Verilog::Language 1.9 2001/2/13**	Added Verilog::Language::is_compdirect.  [Darren Jones]* Verilog::Language 1.7 2000/11/02**	Added parametric module support to Parser.pm.  [Darren Jones]****	Fixed bug where // comments with no following text broke.	[Darren Jones]* Verilog::Language 1.6 2000/9/07**	Added the vpm preprocessor****	Fixed bug where missing end-quote would hang Verilog::Parser* Verilog::Language 1.5 2000/5/22**	Allowed non-numerics in bus subscripts	[Alan.Heinold@East.Sun.COM]***	Fixed bug where lines with just a newline would boggle the linecount.* Verilog::Language 1.4 2000/1/21****	test.pl added----------------------------------------------------------------------DESCRIPTION: Documentation on change history for this package----------------------------------------------------------------------This uses outline mode in Emacs.  See C-h m [M-x describe-mode].Copyright 2001-2009 by Wilson Snyder.  This program is free software;you can redistribute it and/or modify it under the terms of either the GNULesser General Public License or the Perl Artistic License.Local variables:mode: outlineparagraph-separate: "[ 	\f\n]*$"end:

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
色婷婷狠狠综合| 国产欧美日本一区二区三区| 精品国免费一区二区三区| 国产日韩av一区| 日韩av二区在线播放| av网站免费线看精品| 91精品国产一区二区三区香蕉| 国产欧美精品区一区二区三区 | 成人欧美一区二区三区1314| 丝袜美腿亚洲综合| 色综合视频一区二区三区高清| 精品国产91洋老外米糕| 亚洲成av人片| 日本大香伊一区二区三区| 久久久.com| 久久精品99国产国产精| 欧美日韩精品一区二区三区四区 | 日韩一区二区三区视频在线| 亚洲日穴在线视频| 成人污视频在线观看| 久久蜜桃av一区精品变态类天堂| 日韩国产在线一| 精品视频免费在线| 亚洲精品一二三区| 91热门视频在线观看| 国产色一区二区| 精品夜夜嗨av一区二区三区| 欧美一区二区三区爱爱| 午夜电影一区二区| 欧美日韩免费电影| 日韩一区欧美二区| 日韩欧美一级片| 国产麻豆午夜三级精品| 久久久久久久久伊人| 国产91高潮流白浆在线麻豆| 国产免费观看久久| 成人综合婷婷国产精品久久| 国产精品午夜久久| eeuss国产一区二区三区| 国产精品久久久久影院色老大| 成人免费毛片片v| 综合久久久久久| 欧美v国产在线一区二区三区| 亚洲国产精品精华液网站| 欧美日韩在线免费视频| 日本不卡视频在线| 久久精品人人爽人人爽| 国产aⅴ精品一区二区三区色成熟| 国产亚洲一区二区三区| proumb性欧美在线观看| 一个色妞综合视频在线观看| 欧美丰满少妇xxxbbb| 免费精品视频在线| 久久久一区二区三区| 成人一区二区在线观看| 一区二区三区欧美日韩| 777奇米成人网| 国产麻豆精品95视频| 亚洲四区在线观看| 在线不卡a资源高清| 国产一区二区久久| 亚洲精品网站在线观看| 欧美精品久久久久久久多人混战| 免费在线观看日韩欧美| 欧美激情一区二区三区在线| 在线免费不卡视频| 久久国产乱子精品免费女| 国产精品理论片| 欧美群妇大交群中文字幕| 国产乱码精品一区二区三区忘忧草| 国产清纯美女被跳蛋高潮一区二区久久w| 粉嫩av亚洲一区二区图片| 性久久久久久久久| 久久久久久免费| 欧美老女人在线| av午夜一区麻豆| 国产精品一区在线观看乱码| 亚洲一级电影视频| 国产精品网友自拍| 欧美一级免费观看| 91年精品国产| 国产成人免费在线| 视频一区在线播放| 亚洲免费av高清| 久久久精品欧美丰满| 欧美日韩亚州综合| av午夜一区麻豆| 国产福利精品导航| 老司机一区二区| 亚洲色图19p| 国产性天天综合网| 日韩欧美在线综合网| 欧美在线看片a免费观看| 不卡一卡二卡三乱码免费网站| 免费一级欧美片在线观看| 一区二区三区欧美激情| 中文字幕二三区不卡| 久久亚洲欧美国产精品乐播| 91精品国产乱码久久蜜臀| 欧美亚日韩国产aⅴ精品中极品| 成人av免费网站| 国产美女一区二区三区| 精品制服美女丁香| 蜜乳av一区二区| 日韩av在线发布| 日av在线不卡| 免费看欧美美女黄的网站| 日韩和欧美一区二区三区| 婷婷开心激情综合| 亚洲高清免费视频| 亚洲一区二区av电影| 一区二区成人在线| 亚洲综合男人的天堂| 亚洲自拍偷拍九九九| 一个色在线综合| 日日噜噜夜夜狠狠视频欧美人| 亚洲成人免费电影| 天堂成人免费av电影一区| 视频一区二区国产| 美女网站色91| 激情久久五月天| 国产成人av福利| 成人av先锋影音| 色94色欧美sute亚洲线路二| 欧美日韩精品一区二区天天拍小说 | 99精品国产99久久久久久白柏| 成人午夜精品在线| 在线视频一区二区三区| 欧美亚洲动漫制服丝袜| 欧美精品乱码久久久久久按摩 | 日本道色综合久久| 欧美高清你懂得| 久久综合色之久久综合| 国产欧美综合在线观看第十页| 最近日韩中文字幕| 亚洲一区二区在线视频| 日韩电影一区二区三区四区| 青青国产91久久久久久| 国产精品一区二区视频| 99久久精品一区二区| 欧美高清视频不卡网| 国产日韩欧美a| 一个色在线综合| 国产又黄又大久久| 91捆绑美女网站| 日韩视频免费观看高清完整版在线观看 | 国产目拍亚洲精品99久久精品| 最新中文字幕一区二区三区 | 综合欧美亚洲日本| 日本不卡123| 色综合中文综合网| 99久久国产综合精品色伊| 欧美日韩激情一区二区三区| 欧美不卡一二三| 一区二区三区影院| 国产一区二区按摩在线观看| 91麻豆国产香蕉久久精品| 日韩欧美久久一区| 亚洲日本丝袜连裤袜办公室| 蜜臀av性久久久久蜜臀av麻豆| av午夜一区麻豆| 26uuu成人网一区二区三区| 18成人在线视频| 久久99精品久久久久婷婷| 91性感美女视频| 精品日韩一区二区| 亚洲国产日韩综合久久精品| 国产成人精品亚洲日本在线桃色 | 精品精品国产高清a毛片牛牛| 国产精品久久毛片av大全日韩| 日本午夜精品一区二区三区电影 | 韩国一区二区在线观看| 欧美性一二三区| 中文字幕一区二区三| 黄色资源网久久资源365| 欧美二区乱c少妇| 亚洲精品五月天| 99久久精品国产毛片| 国产视频一区二区在线| 看国产成人h片视频| 欧美日韩国产区一| 一区二区在线观看不卡| av在线播放一区二区三区| 久久久夜色精品亚洲| 久久精品二区亚洲w码| 91精选在线观看| 亚洲chinese男男1069| 色嗨嗨av一区二区三区| 国产农村妇女精品| 国产宾馆实践打屁股91| 欧美精品一区二| 狠狠色狠狠色合久久伊人| 欧美大片日本大片免费观看| 日韩专区一卡二卡| 欧美酷刑日本凌虐凌虐| 污片在线观看一区二区| 欧美特级限制片免费在线观看| 亚洲精品成人悠悠色影视| 91猫先生在线| 亚洲综合色自拍一区|