?? clock.vhd
字號:
--
-- Definition of a single port ROM for KCPSM3 program defined by clock.psm
--
-- Generated by KCPSM3 Assembler 05Jun2006-17:30:09.
--
-- Standard IEEE libraries
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--
-- The Unisim Library is used to define Xilinx primitives. It is also used during
-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
--
library unisim;
use unisim.vcomponents.all;
--
--
entity clock is
Port ( address : in std_logic_vector(9 downto 0);
instruction : out std_logic_vector(17 downto 0);
clk : in std_logic);
end clock;
--
architecture low_level_definition of clock is
--
-- Attributes to define ROM contents during implementation synthesis.
-- The information is repeated in the generic map for functional simulation
--
attribute INIT_00 : string;
attribute INIT_01 : string;
attribute INIT_02 : string;
attribute INIT_03 : string;
attribute INIT_04 : string;
attribute INIT_05 : string;
attribute INIT_06 : string;
attribute INIT_07 : string;
attribute INIT_08 : string;
attribute INIT_09 : string;
attribute INIT_0A : string;
attribute INIT_0B : string;
attribute INIT_0C : string;
attribute INIT_0D : string;
attribute INIT_0E : string;
attribute INIT_0F : string;
attribute INIT_10 : string;
attribute INIT_11 : string;
attribute INIT_12 : string;
attribute INIT_13 : string;
attribute INIT_14 : string;
attribute INIT_15 : string;
attribute INIT_16 : string;
attribute INIT_17 : string;
attribute INIT_18 : string;
attribute INIT_19 : string;
attribute INIT_1A : string;
attribute INIT_1B : string;
attribute INIT_1C : string;
attribute INIT_1D : string;
attribute INIT_1E : string;
attribute INIT_1F : string;
attribute INIT_20 : string;
attribute INIT_21 : string;
attribute INIT_22 : string;
attribute INIT_23 : string;
attribute INIT_24 : string;
attribute INIT_25 : string;
attribute INIT_26 : string;
attribute INIT_27 : string;
attribute INIT_28 : string;
attribute INIT_29 : string;
attribute INIT_2A : string;
attribute INIT_2B : string;
attribute INIT_2C : string;
attribute INIT_2D : string;
attribute INIT_2E : string;
attribute INIT_2F : string;
attribute INIT_30 : string;
attribute INIT_31 : string;
attribute INIT_32 : string;
attribute INIT_33 : string;
attribute INIT_34 : string;
attribute INIT_35 : string;
attribute INIT_36 : string;
attribute INIT_37 : string;
attribute INIT_38 : string;
attribute INIT_39 : string;
attribute INIT_3A : string;
attribute INIT_3B : string;
attribute INIT_3C : string;
attribute INIT_3D : string;
attribute INIT_3E : string;
attribute INIT_3F : string;
attribute INITP_00 : string;
attribute INITP_01 : string;
attribute INITP_02 : string;
attribute INITP_03 : string;
attribute INITP_04 : string;
attribute INITP_05 : string;
attribute INITP_06 : string;
attribute INITP_07 : string;
--
-- Attributes to define ROM contents during implementation synthesis.
--
attribute INIT_00 of ram_1024_x_18 : label is "E0090009E023E022E01BE00EE00DE005E006E008E007E00A00000DFFC00102E5";
attribute INIT_01 of ram_1024_x_18 : label is "E028001FE026001AE025E00BE01C0005E024E00FE01E0006E01DE00C0002E021";
attribute INIT_02 of ram_1024_x_18 : label is "E03DE0340003E029001CE032E030E02DE02B001EE033E031E02FE02EE02CE02A";
attribute INIT_03 of ram_1024_x_18 : label is "E03FE03C0001E03B0005E0390000E0380004E03AE0370002E03EE036E0350006";
attribute INIT_04 of ram_1024_x_18 : label is "0313052F584B43056313E3130300E316033501F40313052001D9031305100F00";
attribute INIT_05 of ram_1024_x_18 : label is "5449452FE51685FF651602D405200313052F585443056313E313030002D46516";
attribute INIT_06 of ram_1024_x_18 : label is "65160313052F586A43056313E3130300E3160335022A03130520021303130510";
attribute INIT_07 of ram_1024_x_18 : label is "00005468452FE51685FF651602D405200313052F587343056313E313030002D4";
attribute INIT_08 of ram_1024_x_18 : label is "E01A400002F6588A40326013026503130520024703130510E013E019E003E002";
attribute INIT_09 of ram_1024_x_18 : label is "E01E01D26026E106E00501D26023E108E00701D26022E10AE00901D26021E013";
attribute INIT_0A of ram_1024_x_18 : label is "54B343016303031305115523AFFFE10EE00F01D26024E11BE01C01D26025E11D";
attribute INIT_0B of ram_1024_x_18 : label is "430263030313051402A002A040BC02D48530650902D48530650A54BA23016311";
attribute INIT_0C of ram_1024_x_18 : label is "63030313051702A002A040CD02D48530650702D48530650854CB2301631154C4";
attribute INIT_0D of ram_1024_x_18 : label is "0313051C02A002A040DE02D48530650502D48530650654DC2301631154D54303";
attribute INIT_0E of ram_1024_x_18 : label is "056F02D4054D54F44301630B412302D4056E02D4057502D4055354EA4300630B";
attribute INIT_0F of ram_1024_x_18 : label is "4303630B412302D4056502D4057502D4055454FE4302630B412302D4056E02D4";
attribute INIT_10 of ram_1024_x_18 : label is "057502D4056802D4055455124304630B412302D4056402D4056502D405575508";
attribute INIT_11 of ram_1024_x_18 : label is "02D4056102D40553412302D4056902D4057202D40546551C4305630B412302D4";
attribute INIT_12 of ram_1024_x_18 : label is "8530651C02D48530651B553223016311552B4304630303130526412302D40574";
attribute INIT_13 of ram_1024_x_18 : label is "651E02D48530651D554323016311553C430563030313052902A002A0413402D4";
attribute INIT_14 of ram_1024_x_18 : label is "02D48530650C555A23016311554D430663030313052C02A002A0414502D48530";
attribute INIT_15 of ram_1024_x_18 : label is "0127602502A002A002A002A0415E02D48530650F02D48530650E02D48530650D";
attribute INIT_16 of ram_1024_x_18 : label is "5188B110611FE2265D6D52106126E22782015568A10361245568400272109100";
attribute INIT_17 of ram_1024_x_18 : label is "E12501015588410DE12581016125E12601015588512082016227E12681016126";
attribute INIT_18 of ram_1024_x_18 : label is "910060247100902062250033E01F00004188E124010055884164E12481016124";
attribute INIT_19 of ram_1024_x_18 : label is "6003E00B8007419A5D9D80F99020622680069010000E000E8063800159934203";
attribute INIT_1A of ram_1024_x_18 : label is "055402D4054502D405530313052041B102D402D402D465180313052055A9A0FF";
attribute INIT_1B of ram_1024_x_18 : label is "4000602255C14011602655C140056025E01A5441F100611A4000E019600302D4";
attribute INIT_1C of ram_1024_x_18 : label is "0F0040910FFF40410F0059CD4032601302820313051041CF02E555CF4FFF51C5";
attribute INIT_1D of ram_1024_x_18 : label is "056C02D4054302A002D4054102A0A000800A41D381015DD780F601004091EF13";
attribute INIT_1E of ram_1024_x_18 : label is "02D4056502D4057302D4056102D4054202A002D4056B02D4056302D4056F02D4";
attribute INIT_1F of ram_1024_x_18 : label is "056102D4057402D4055302A002D4054502D4053302D40553A00002A002D40564";
attribute INIT_20 of ram_1024_x_18 : label is "02D4057402D4056902D4054B02A002D4057202D4056502D4057402D4057202D4";
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -