亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? sh_machine.vhd

?? 自動售貨機:先投入一定數(shù)目貨幣
?? VHD
字號:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity sh_machine is
port (           clk: in std_logic;                          --系統(tǒng)時鐘
set,sel,cancel,finish: in std_logic;                        --設定、買、選擇、完成信號
            add,coin0: in bit;                             --增加貨物的數(shù)量及1元硬幣
get,choice_a,choice_b: in bit;                              --貨物類型的選擇跳轉按鈕及選A或選B按鈕
        goods1,goods2: buffer std_logic_vector(3 downto 0);    --貨物號的顯示        
              display: buffer std_logic_vector(15 downto 0);            --十六種貨物的顯示燈
                
       money0,money1 : buffer std_logic_vector(7 downto 0));             --放入的錢數(shù)、返回的錢數(shù)的顯示輸出端
end sh_machine;

architecture xiao of sh_machine is

type  ram_type is array(15 downto 0)of std_logic_vector(7 downto 0); 
signal ram :ram_type;                                           --定義RAM
signal item: std_logic_vector(3 downto 0);                      --商品種類
signal coin: std_logic_vector(7 downto 0);                      --幣數(shù)計數(shù)器
signal pri,qua:std_logic_vector(3 downto 0); 

begin

--數(shù)量和價格的初始化
init:process(set,clk,item)
begin
 if clk 'event and clk='1' then  
    if set='1' then         --設定商品的價格和數(shù)量
        if add='1' then
         qua <=qua+1; 
        else
            if item<"1000" then
                 pri<="0010";
                 ram(conv_integer(item))<=pri & qua;
                 item<=item+1 ;
                 qua <="0000";
            else
                 pri<="0101";
                 ram(conv_integer(item))<=pri & qua;
                 item<=item+1;
                 qua <="0000" ;
           end if;
        end if;
     elsif (finish='1' and sel='0')then      --在購買時相應的商品數(shù)量減少
        if (  (display(conv_integer(goods1))='1' or display(conv_integer(goods2+8))='1')) then
           if get='0' then
               ram(conv_integer(goods1))(3 downto 0)<=ram(conv_integer(goods1))(3 downto 0)-1;
           elsif get='1' then
               ram(conv_integer(goods2+8))(3 downto 0)<=ram(conv_integer(goods2+8))(3 downto 0)-1;
           end if;
        end if;
    end if;
end if;
end process;

--錢數(shù)及貨物的計算
caculate:process
begin
wait until rising_edge(clk);
if set='0' then
     if (coin0='1' and coin<="01100100")then          --投入的錢數(shù)。
               coin<=coin+1;
          if coin>"00010100"  then
               money0<="00010100";     --輸出投入的錢數(shù)
               money1<=(coin - money0);
          
          else
               money0<=coin;
               money1<=(others=>'0');
          end if;
     elsif sel='0' then
         if  cancel='1' then        --取消交易
                  money1<=money0;
                  money0<=(others=>'0');
         elsif   finish='1' then   ----選擇好進行購買時
             if  (get='0' and ram(conv_integer(goods1))(3 downto 0)>"0000" )then
                   money0<=money0-2;
                 if(money0<"00000010") then     --當剩余的錢數(shù)小于最小的貨品單價時退出剩余的錢
                       money1<=money0;            
                       money0<=(others=>'0');
                 end if;
             elsif (get='1' and ram(conv_integer(goods2+8))(3 downto 0)>"0000") then
                      money0<=money0-5;
                  if(money0<"00000110") then      --當剩余的錢數(shù)小于最小的貨品單價時退出剩余的錢
                       money1<=money0;
                       money0<=(others=>'0');
                  end if;
             end if ;
        end if;            
     end if;
end if;
end process;

--檢查指示燈是否滿足點亮的條件
check:process
begin
 wait until rising_edge(clk) ;
 if set='0' then
   if money0<"00000010" then
        display<=(others=>'0');     
   elsif (money0>="00000010" and money0<"00000110") then  
       for i in 0 to 7 loop
           display(i+8)<='0' ;
           if (ram(i)(3 downto 0)>"0000") then
                  display(i)<='1' ;
           else
              display(i)<='0';
           end if;
       end loop;
  elsif  money0>="00000101" then
    for i in 0 to 15 loop  
      if (ram(i)(3 downto 0)>"0000") then
          display(i)<='1';
      else
          display(i)<='0' ;
      end if;
    end loop;
  end if;
  end if;
 end process;


--對商品的選擇
choose:process
begin
 wait until rising_edge(clk) ;
 if coin0='0' then
   if  (sel='1' ) then
      if choice_a='1' then        --選擇a類商品
             goods1<=goods1+1;
         if (display(conv_integer(goods1+1))='0') then
            if goods1<="0111" then
                goods1<=goods1+1;
            else
            goods1<="0000";
            end if;
         end if;
      elsif choice_b='1' then     --選擇b類商品
             goods2<=goods2+1;
        if(display(conv_integer(goods2+8))='0') then
             if goods2<="0111" then
                goods2<=goods2+1;
             else
                goods2<="0000";
            end if;
         end if;
      end if;
   end if;
end if;
end process ;


end xiao;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
亚洲自拍与偷拍| 99久久精品免费看| 一区二区三区欧美久久| 国产精品盗摄一区二区三区| 久久久久国产精品免费免费搜索| 日韩亚洲欧美在线| 欧美成人精精品一区二区频| 日韩你懂的在线观看| 日韩美女在线视频 | 中文一区二区在线观看| 国产亚洲一区二区三区四区| 国产亚洲综合av| 成人免费在线播放视频| 亚洲黄色免费电影| 日韩在线卡一卡二| 激情亚洲综合在线| 国产成人免费视频一区| 成人黄色软件下载| 色狠狠一区二区三区香蕉| 欧美日韩五月天| 精品国精品自拍自在线| 国产精品狼人久久影院观看方式| 亚洲青青青在线视频| 亚洲国产精品嫩草影院| 久久99热99| 91丨九色丨国产丨porny| 精品污污网站免费看| 精品少妇一区二区三区日产乱码 | 国产精品国产三级国产三级人妇| 国产无一区二区| 一区二区三区四区蜜桃| 午夜成人免费电影| 国产成人精品午夜视频免费 | 日本vs亚洲vs韩国一区三区| 国产成人av一区二区三区在线观看| www.色精品| 日韩一区二区在线免费观看| 国产精品入口麻豆九色| 舔着乳尖日韩一区| 不卡影院免费观看| 91麻豆精品91久久久久同性| 国产精品久久看| 裸体在线国模精品偷拍| 不卡电影免费在线播放一区| 日韩亚洲欧美综合| 一区二区三区蜜桃| 高清国产一区二区| 欧美一区二区三区四区高清| 中文字幕佐山爱一区二区免费| 免费精品视频最新在线| 91福利社在线观看| 国产午夜精品在线观看| 日韩一区欧美二区| 色婷婷综合久久久中文一区二区 | 99久久久久久| 精品国产91久久久久久久妲己| 亚洲另类一区二区| 成人视屏免费看| 久久久久久夜精品精品免费| 中文字幕不卡在线播放| 精品国产91久久久久久久妲己| 中文字幕一区二区在线观看| 日本中文字幕一区二区视频| 色妞www精品视频| 国产精品乱人伦| 国产成人免费9x9x人网站视频| 日韩欧美123| 看电视剧不卡顿的网站| 欧美日韩不卡视频| 五月激情综合网| 欧美色窝79yyyycom| 中文字幕字幕中文在线中不卡视频| 成人午夜激情片| 国产日韩欧美在线一区| 国产精品一区久久久久| 久久久久久黄色| 成人av在线一区二区| 国产精品久久久久久久岛一牛影视| wwwwxxxxx欧美| 石原莉奈在线亚洲三区| 色94色欧美sute亚洲线路二| 国产精品久久久久久久岛一牛影视 | 亚洲欧美偷拍卡通变态| 97精品国产露脸对白| 亚洲日本在线视频观看| 在线精品视频小说1| 亚洲一区二区三区四区不卡| 欧美日韩在线综合| 日韩成人精品视频| wwww国产精品欧美| 不卡的av中国片| 夜夜嗨av一区二区三区中文字幕| 欧美性受极品xxxx喷水| 蜜臀av性久久久久蜜臀aⅴ四虎| 中文成人av在线| 国产东北露脸精品视频| 国产精品视频免费| 91免费国产在线| 无码av免费一区二区三区试看 | 国产凹凸在线观看一区二区| 国产精品天美传媒沈樵| 色婷婷亚洲精品| 夜夜嗨av一区二区三区四季av| 欧美日韩一区二区三区在线看 | 成人欧美一区二区三区白人 | 国产精品私人影院| 一区二区三区四区在线| 在线综合亚洲欧美在线视频| 另类小说综合欧美亚洲| 久久久久综合网| 一本一道波多野结衣一区二区| 日韩国产高清影视| 日本一区二区三区四区在线视频| 欧美激情一区二区三区四区| 91网站在线观看视频| 亚洲精品免费看| 在线成人高清不卡| 高清在线观看日韩| 午夜久久久影院| 欧美国产成人精品| 欧美剧情电影在线观看完整版免费励志电影 | 91免费观看视频| 蜜臀av一区二区在线免费观看| 国产欧美日韩麻豆91| 欧美精品自拍偷拍| 成年人网站91| 国产精品亚洲а∨天堂免在线| 午夜精品久久久久久久99樱桃| 国产精品国产自产拍在线| 在线播放视频一区| 亚洲国产精品t66y| 91精品1区2区| 国产福利一区二区三区| 亚洲国产成人av网| 亚洲欧洲成人自拍| 国产午夜精品福利| 欧美成人精品二区三区99精品| 欧美亚洲一区二区在线观看| 99久久久国产精品| 国产69精品一区二区亚洲孕妇| 蜜臀av性久久久久蜜臀aⅴ四虎| 亚洲国产aⅴ天堂久久| 国产精品美女久久久久久久| 久久蜜桃av一区二区天堂| 日韩一级高清毛片| 欧美午夜一区二区三区免费大片| jvid福利写真一区二区三区| 国产成人综合精品三级| 国产一区激情在线| 精品一区二区三区在线观看| 免费看日韩精品| 强制捆绑调教一区二区| 日韩精品久久久久久| 亚洲超碰精品一区二区| 亚洲国产精品久久久久婷婷884| 亚洲欧美经典视频| 亚洲欧美激情在线| 亚洲国产精品久久不卡毛片| 亚洲综合色区另类av| 一区二区三区国产精华| 一区二区三区av电影| 亚洲一区二区三区自拍| 一区二区免费看| 亚洲高清久久久| 日本91福利区| 91丝袜国产在线播放| 岛国精品在线观看| 国产精品夜夜爽| 国产91在线观看丝袜| 成人免费毛片嘿嘿连载视频| 国产精品综合在线视频| 不卡一区二区在线| 色婷婷av一区二区三区大白胸| 91久久精品国产91性色tv | 中文字幕一区二区三区视频 | 欧美夫妻性生活| 欧美videofree性高清杂交| 精品国产露脸精彩对白| 中文字幕av一区二区三区高| 一区二区三区资源| 日韩国产在线观看| 精品一区二区三区在线观看国产| 成人激情小说网站| 欧美日韩国产高清一区二区 | 蜜桃视频第一区免费观看| 日韩福利电影在线观看| 麻豆高清免费国产一区| 成熟亚洲日本毛茸茸凸凹| 91在线云播放| 欧美一区二区私人影院日本| 国产无一区二区| 亚洲线精品一区二区三区| 久久激情综合网| 97久久精品人人澡人人爽| 精品视频在线看| 久久久久九九视频| 天天操天天综合网| 99久久综合狠狠综合久久| 欧美成人官网二区| 一区二区欧美视频|