?? tb_sramtest.v
字號(hào):
`timescale 1ns/1ns
module tb_sramtest();
//input
reg clk; // 50MHz
reg rst_n; //低電平復(fù)位
//output
wire led; // LED1
wire[14:0] sram_addr; // SRAM地址總線
wire sram_wr_n; // SRAM寫選通
//inout
wire [7:0] sram_data; // SRAM數(shù)據(jù)總線
sram_test sram_test(
.clk(clk),
.rst_n(rst_n),
.led(led),
.sram_addr(sram_addr),
.sram_wr_n(sram_wr_n),
.sram_data(sram_data)
);
initial begin
rst_n = 0;
#200; rst_n = 1;
end
initial begin
clk = 0;
forever
#10 clk = ~clk;
end
endmodule
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號(hào)
Ctrl + =
減小字號(hào)
Ctrl + -