亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? maxii_atoms.v

?? BJ-EPM240V2實驗例程以及說明文檔實驗之十四MAX II的UFM模塊使用實例
?? V
?? 第 1 頁 / 共 4 頁
字號:
// Copyright (C) 1991-2008 Altera Corporation
// Your use of Altera Corporation's design tools, logic functions 
// and other software and tools, and its AMPP partner logic 
// functions, and any output files from any of the foregoing 
// (including device programming or simulation files), and any 
// associated documentation or information are expressly subject 
// to the terms and conditions of the Altera Program License 
// Subscription Agreement, Altera MegaCore Function License 
// Agreement, or other applicable license agreement, including, 
// without limitation, that your use is for the sole purpose of 
// programming logic devices manufactured by Altera and sold by 
// Altera or its authorized distributors.  Please refer to the 
// applicable agreement for further details.
// Quartus II 8.1 Build 163 10/28/2008

// ********** PRIMITIVE DEFINITIONS **********

`timescale 1 ps/1 ps

// ***** DFFE

primitive MAXII_PRIM_DFFE (Q, ENA, D, CLK, CLRN, PRN, notifier);
   input D;   
   input CLRN;
   input PRN;
   input CLK;
   input ENA;
   input notifier;
   output Q; reg Q;

   initial Q = 1'b0;

    table

    //  ENA  D   CLK   CLRN  PRN  notifier  :   Qt  :   Qt+1

        (??) ?    ?      1    1      ?      :   ?   :   -;  // pessimism
         x   ?    ?      1    1      ?      :   ?   :   -;  // pessimism
         1   1   (01)    1    1      ?      :   ?   :   1;  // clocked data
         1   1   (01)    1    x      ?      :   ?   :   1;  // pessimism
 
         1   1    ?      1    x      ?      :   1   :   1;  // pessimism
 
         1   0    0      1    x      ?      :   1   :   1;  // pessimism
         1   0    x      1  (?x)     ?      :   1   :   1;  // pessimism
         1   0    1      1  (?x)     ?      :   1   :   1;  // pessimism
 
         1   x    0      1    x      ?      :   1   :   1;  // pessimism
         1   x    x      1  (?x)     ?      :   1   :   1;  // pessimism
         1   x    1      1  (?x)     ?      :   1   :   1;  // pessimism
 
         1   0   (01)    1    1      ?      :   ?   :   0;  // clocked data

         1   0   (01)    x    1      ?      :   ?   :   0;  // pessimism

         1   0    ?      x    1      ?      :   0   :   0;  // pessimism
         0   ?    ?      x    1      ?      :   ?   :   -;

         1   1    0      x    1      ?      :   0   :   0;  // pessimism
         1   1    x    (?x)   1      ?      :   0   :   0;  // pessimism
         1   1    1    (?x)   1      ?      :   0   :   0;  // pessimism

         1   x    0      x    1      ?      :   0   :   0;  // pessimism
         1   x    x    (?x)   1      ?      :   0   :   0;  // pessimism
         1   x    1    (?x)   1      ?      :   0   :   0;  // pessimism

//       1   1   (x1)    1    1      ?      :   1   :   1;  // reducing pessimism
//       1   0   (x1)    1    1      ?      :   0   :   0;
         1   ?   (x1)    1    1      ?      :   ?   :   -;  // spr 80166-ignore
                                                            // x->1 edge
         1   1   (0x)    1    1      ?      :   1   :   1;
         1   0   (0x)    1    1      ?      :   0   :   0;

         ?   ?   ?       0    0      ?      :   ?   :   0;  // clear wins preset
         ?   ?   ?       0    1      ?      :   ?   :   0;  // asynch clear

         ?   ?   ?       1    0      ?      :   ?   :   1;  // asynch set

         1   ?   (?0)    1    1      ?      :   ?   :   -;  // ignore falling clock
         1   ?   (1x)    1    1      ?      :   ?   :   -;  // ignore falling clock
         1   *    ?      ?    ?      ?      :   ?   :   -; // ignore data edges

         1   ?   ?     (?1)   ?      ?      :   ?   :   -;  // ignore edges on
         1   ?   ?       ?  (?1)     ?      :   ?   :   -;  //  set and clear

         0   ?   ?       1    1      ?      :   ?   :   -;  //  set and clear

	 ?   ?   ?       1    1      *      :   ?   :   x; // spr 36954 - at any
							   // notifier event,
							   // output 'x'
    endtable

endprimitive

module maxii_dffe ( Q, CLK, ENA, D, CLRN, PRN );
   input D;
   input CLK;
   input CLRN;
   input PRN;
   input ENA;
   output Q;
   
   wire D_ipd;
   wire ENA_ipd;
   wire CLK_ipd;
   wire PRN_ipd;
   wire CLRN_ipd;
   
   buf (D_ipd, D);
   buf (ENA_ipd, ENA);
   buf (CLK_ipd, CLK);
   buf (PRN_ipd, PRN);
   buf (CLRN_ipd, CLRN);
   
   wire   legal;
   reg 	  viol_notifier;
   
   MAXII_PRIM_DFFE ( Q, ENA_ipd, D_ipd, CLK_ipd, CLRN_ipd, PRN_ipd, viol_notifier );
   
   and(legal, ENA_ipd, CLRN_ipd, PRN_ipd);
   specify
      
      specparam TREG = 0;
      specparam TREN = 0;
      specparam TRSU = 0;
      specparam TRH  = 0;
      specparam TRPR = 0;
      specparam TRCL = 0;
      
      $setup  (  D, posedge CLK &&& legal, TRSU, viol_notifier  ) ;
      $hold   (  posedge CLK &&& legal, D, TRH, viol_notifier   ) ;
      $setup  (  ENA, posedge CLK &&& legal, TREN, viol_notifier  ) ;
      $hold   (  posedge CLK &&& legal, ENA, 0, viol_notifier   ) ;
 
      ( negedge CLRN => (Q  +: 1'b0)) = ( TRCL, TRCL) ;
      ( negedge PRN  => (Q  +: 1'b1)) = ( TRPR, TRPR) ;
      ( posedge CLK  => (Q  +: D)) = ( TREG, TREG) ;
      
   endspecify
endmodule     

// ***** maxii_latch

module maxii_latch(D, ENA, PRE, CLR, Q);
   
   input D;
   input ENA, PRE, CLR;
   output Q;
   
   reg 	  q_out;
   
   specify
      $setup (D, posedge ENA, 0) ;
      $hold (negedge ENA, D, 0) ;
      
      (D => Q) = (0, 0);
      (posedge ENA => (Q +: q_out)) = (0, 0);
      (negedge PRE => (Q +: q_out)) = (0, 0);
      (negedge CLR => (Q +: q_out)) = (0, 0);
   endspecify
   
   wire D_in;
   wire ENA_in;
   wire PRE_in;
   wire CLR_in;
   
   buf (D_in, D);
   buf (ENA_in, ENA);
   buf (PRE_in, PRE);
   buf (CLR_in, CLR);
   
   initial
      begin
	 q_out = 1'b0;
      end
   
   always @(D_in or ENA_in or PRE_in or CLR_in)
      begin
	 if (PRE_in == 1'b0)
	    begin
	       // latch being preset, preset is active low
	       q_out = 1'b1;
	    end
	 else if (CLR_in == 1'b0)
	    begin
	       // latch being cleared, clear is active low
	       q_out = 1'b0;
	    end
	      else if (ENA_in == 1'b1)
		 begin
		    // latch is transparent
		    q_out = D_in;
		 end
      end
   
   and (Q, q_out, 1'b1);
   
endmodule

// ***** maxii_mux21

module maxii_mux21 (MO, A, B, S);
   input A, B, S;
   output MO;
   
   wire A_in;
   wire B_in;
   wire S_in;

   buf(A_in, A);
   buf(B_in, B);
   buf(S_in, S);

   wire   tmp_MO;
   
   specify
      (A => MO) = (0, 0);
      (B => MO) = (0, 0);
      (S => MO) = (0, 0);
   endspecify

   assign tmp_MO = (S_in == 1) ? B_in : A_in;
   
   buf (MO, tmp_MO);
endmodule

// ***** maxii_mux41

module maxii_mux41 (MO, IN0, IN1, IN2, IN3, S);
   input IN0;
   input IN1;
   input IN2;
   input IN3;
   input [1:0] S;
   output MO;
   
   wire IN0_in;
   wire IN1_in;
   wire IN2_in;
   wire IN3_in;
   wire S1_in;
   wire S0_in;

   buf(IN0_in, IN0);
   buf(IN1_in, IN1);
   buf(IN2_in, IN2);
   buf(IN3_in, IN3);
   buf(S1_in, S[1]);
   buf(S0_in, S[0]);

   wire   tmp_MO;
   
   specify
      (IN0 => MO) = (0, 0);
      (IN1 => MO) = (0, 0);
      (IN2 => MO) = (0, 0);
      (IN3 => MO) = (0, 0);
      (S[1] => MO) = (0, 0);
      (S[0] => MO) = (0, 0);
   endspecify

   assign tmp_MO = S1_in ? (S0_in ? IN3_in : IN2_in) : (S0_in ? IN1_in : IN0_in);

   buf (MO, tmp_MO);

endmodule

// ***** maxii_and1

module maxii_and1 (Y, IN1);
   input IN1;
   output Y;
   
   specify
      (IN1 => Y) = (0, 0);
   endspecify
   
   buf (Y, IN1);
endmodule

// ***** maxii_and16

module maxii_and16 (Y, IN1);
   input [15:0] IN1;
   output [15:0] Y;
   
   specify
      (IN1 => Y) = (0, 0);
   endspecify
   
   buf (Y[0], IN1[0]);
   buf (Y[1], IN1[1]);
   buf (Y[2], IN1[2]);
   buf (Y[3], IN1[3]);
   buf (Y[4], IN1[4]);
   buf (Y[5], IN1[5]);
   buf (Y[6], IN1[6]);
   buf (Y[7], IN1[7]);
   buf (Y[8], IN1[8]);
   buf (Y[9], IN1[9]);
   buf (Y[10], IN1[10]);
   buf (Y[11], IN1[11]);
   buf (Y[12], IN1[12]);
   buf (Y[13], IN1[13]);
   buf (Y[14], IN1[14]);
   buf (Y[15], IN1[15]);
   
endmodule

// ***** maxii_bmux21

module maxii_bmux21 (MO, A, B, S);
   input [15:0] A, B;
   input 	S;
   output [15:0] MO; 
   
   assign MO = (S == 1) ? B : A; 
   
endmodule

// ***** maxii_b17mux21

module maxii_b17mux21 (MO, A, B, S);
   input [16:0] A, B;
   input 	S;
   output [16:0] MO; 
   
   assign MO = (S == 1) ? B : A; 
   
endmodule

// ***** maxii_nmux21

module maxii_nmux21 (MO, A, B, S);
   input A, B, S; 
   output MO; 
   
   assign MO = (S == 1) ? ~B : ~A; 
   
endmodule

// ***** maxii_b5mux21

module maxii_b5mux21 (MO, A, B, S);
   input [4:0] A, B;
   input       S;
   output [4:0] MO; 
   
   assign MO = (S == 1) ? B : A; 
   
endmodule

// ********** END PRIMITIVE DEFINITIONS **********


//--------------------------------------------------------------------
//
// Module Name : maxii_jtag
//
// Description : MAXII JTAG Verilog Simulation model
//
//--------------------------------------------------------------------

`timescale 1 ps/1 ps
module  maxii_jtag (
    tms, 
    tck,
    tdi, 
    ntrst,
    tdoutap,
    tdouser,
    tdo,
    tmsutap,
    tckutap,
    tdiutap,
    shiftuser,
    clkdruser,
    updateuser,
    runidleuser,
    usr1user);

input tms;
input tck;
input tdi;
input ntrst;
input tdoutap;
input tdouser;

output tdo;
output tmsutap;
output tckutap;
output tdiutap;
output shiftuser;
output clkdruser;
output updateuser;
output runidleuser;
output usr1user;

parameter lpm_type = "maxii_jtag";

endmodule

//--------------------------------------------------------------------
//
// Module Name : maxii_crcblock
//
// Description : MAXII CRCBLOCK Verilog Simulation model
//
//--------------------------------------------------------------------

`timescale 1 ps/1 ps
module  maxii_crcblock (
    clk,
    shiftnld,
// REMTITAN    ldsrc,
    crcerror,
    regout);

input clk;
input shiftnld;
// REMTITAN input ldsrc;

output crcerror;
output regout;

parameter oscillator_divider = 1;
parameter lpm_type = "maxii_crcblock";

endmodule


///////////////////////////////////////////////////////////////////////
//
// Module Name : maxii_asynch_lcell
//

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
亚洲三级在线播放| 91在线视频观看| 欧美日韩免费高清一区色橹橹 | 国产精品一区二区三区乱码| 在线亚洲高清视频| 亚洲视频狠狠干| 色又黄又爽网站www久久| 欧美tk丨vk视频| 天堂成人免费av电影一区| 欧美亚洲综合在线| 中文字幕永久在线不卡| 国内外精品视频| 欧美精品一区二区三| 激情六月婷婷综合| 日韩一区二区不卡| 免费在线观看日韩欧美| 精品国产电影一区二区| 国产成人夜色高潮福利影视| 国产精品免费视频观看| 99视频精品在线| 成人欧美一区二区三区小说| 色婷婷av一区二区三区之一色屋| 亚洲天堂久久久久久久| 91福利在线观看| 亚洲国产欧美日韩另类综合| 欧美日韩1234| 国产剧情一区二区| 亚洲影视在线播放| 久久午夜色播影院免费高清| 成人av在线网| 美国十次了思思久久精品导航| 国产欧美一区二区三区网站| 91福利区一区二区三区| 国产一区二区三区免费在线观看| 亚洲男人电影天堂| 国产精品无遮挡| 欧美一区二区啪啪| 9人人澡人人爽人人精品| 人人狠狠综合久久亚洲| 中文字幕一区二区三区在线播放| 欧美日韩日日夜夜| 99久久精品国产导航| 美女一区二区三区在线观看| 一区二区三区欧美| 欧美极品xxx| 久久免费视频色| 欧美精品tushy高清| 色吧成人激情小说| 日本高清视频一区二区| 风间由美性色一区二区三区| 精品综合久久久久久8888| 天堂午夜影视日韩欧美一区二区| 亚洲免费在线视频| 亚洲欧洲另类国产综合| 久久精品人人做人人综合| 69久久99精品久久久久婷婷| 欧美日免费三级在线| 制服丝袜日韩国产| 久久亚洲精品小早川怜子| 中文字幕不卡的av| 亚洲r级在线视频| 激情久久五月天| 99久精品国产| 欧美日本免费一区二区三区| 一区二区三区在线观看动漫| 亚洲欧美日韩综合aⅴ视频| 亚洲电影你懂得| 蜜桃传媒麻豆第一区在线观看| 国产精品一区二区视频| 欧美午夜精品电影| 久久久久国产一区二区三区四区| 亚洲精品免费视频| 国内精品嫩模私拍在线| 色综合久久久久久久久| 精品国产自在久精品国产| 国产欧美精品一区二区色综合| 亚洲成人动漫在线观看| 国产成人精品三级| 欧美成人综合网站| 亚洲成人综合网站| 91女厕偷拍女厕偷拍高清| 精品盗摄一区二区三区| 日韩精品一级中文字幕精品视频免费观看 | 欧美亚洲禁片免费| 综合久久久久久久| 国产精品乡下勾搭老头1| 91精品在线观看入口| 亚洲女爱视频在线| 99视频超级精品| 亚洲欧洲另类国产综合| 成人a免费在线看| 中文字幕亚洲不卡| 91同城在线观看| 亚洲精品免费在线观看| 91丨九色porny丨蝌蚪| 亚洲欧洲精品成人久久奇米网| 国产风韵犹存在线视精品| 久久久五月婷婷| 风间由美一区二区三区在线观看 | 色狠狠桃花综合| 亚洲狠狠丁香婷婷综合久久久| 99re这里都是精品| 亚洲靠逼com| 91精品麻豆日日躁夜夜躁| 日本va欧美va精品发布| 久久综合国产精品| 99久久久精品免费观看国产蜜| 一区二区三区毛片| 日韩三级中文字幕| 国产69精品久久99不卡| 一区二区理论电影在线观看| 91精品国产乱| 成人av电影在线观看| 亚洲一区二区三区四区五区中文| 日韩午夜电影av| 97久久超碰国产精品电影| 日本一道高清亚洲日美韩| 国产欧美一区二区在线| 欧美老年两性高潮| 国产91高潮流白浆在线麻豆| 亚洲一区二区三区激情| 精品国产一区二区三区久久影院 | 国产69精品一区二区亚洲孕妇| 亚洲美女淫视频| 2022国产精品视频| 欧美日韩色一区| 91看片淫黄大片一级在线观看| 免费观看在线色综合| 亚洲综合视频在线| 国产精品美女一区二区三区| 日韩精品一区二区三区中文不卡| 99在线精品观看| 国产精品一区二区三区四区| 日韩激情一区二区| 亚洲精品视频一区二区| 亚洲国产精品二十页| 久久久久久毛片| 精品处破学生在线二十三| 欧美一区二区免费| 制服丝袜成人动漫| 日韩午夜精品电影| 51精品秘密在线观看| 欧美精品成人一区二区三区四区| 色嗨嗨av一区二区三区| 色天天综合久久久久综合片| a亚洲天堂av| 欧美最猛黑人xxxxx猛交| 日本精品裸体写真集在线观看| 91视频一区二区| 日本电影欧美片| 欧美三区在线视频| 欧美一级片在线观看| 精品少妇一区二区三区视频免付费| 欧美电影免费提供在线观看| 精品国产一区二区三区不卡| 国产天堂亚洲国产碰碰| 亚洲色欲色欲www在线观看| 夜夜爽夜夜爽精品视频| 亚洲精品免费在线| 日本欧美一区二区三区乱码| 九色|91porny| 色视频欧美一区二区三区| 在线综合+亚洲+欧美中文字幕| 欧美xxx久久| 亚洲一区二区三区中文字幕 | 美日韩一级片在线观看| 国产精品一品视频| 欧美中文字幕一区| 精品美女一区二区| 亚洲精品成a人| 久久99精品久久只有精品| 99精品一区二区三区| 欧美一级片在线观看| 亚洲免费观看高清完整版在线 | 亚洲国产精品ⅴa在线观看| 一区二区三区四区激情| 国产成人无遮挡在线视频| 欧美高清视频不卡网| 中文字幕一区二区三区不卡 | 欧美在线一二三| 亚洲国产成人私人影院tom| 久久不见久久见中文字幕免费| 色婷婷av久久久久久久| 国产精品久久综合| 国产成人av在线影院| 日韩女优视频免费观看| 日韩精品视频网站| 欧美亚洲图片小说| 亚洲一区二区三区中文字幕 | 国产精品欧美久久久久无广告 | 色欧美片视频在线观看| 国产精品麻豆欧美日韩ww| 成人免费三级在线| 国产精品女同一区二区三区| 丁香网亚洲国际| 国产精品乱码妇女bbbb| 成人avav在线| 亚洲欧美日韩中文播放| 在线免费观看成人短视频| 一二三四社区欧美黄|