亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關(guān)于我們
? 蟲蟲下載站

?? recv_core.vhd

?? 很多儀器都輸出同步時(shí)鐘
?? VHD
字號(hào):
-- 庫聲明
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use WORK.RECV_PACKAGE.all;

entity recv_core is
	generic (
	
	DATA_BIT : integer := 64;-- 數(shù)據(jù)位個(gè)數(shù)
	TOTAL_BIT : integer := 66;-- 總數(shù)據(jù)個(gè)數(shù)
    PARITY_RULE:PARITY:=ODD
    );
	port (
	-- 時(shí)鐘和復(fù)位信號(hào)
	clk : in std_logic;
	-- 和信號(hào)監(jiān)測(cè)器的接口信號(hào)
	new_data : in std_logic;
	reset_dt : out std_logic;
	-- 復(fù)位、使能子模塊的信號(hào)
	reset_parts : out std_logic;
	reset_shift:out std_logic;
	ce_parts : out std_logic;
	parity:in std_logic;
	sel_TxD:out std_logic;
	-- 和移位寄存器的接口信號(hào)
	regs : in std_logic_vector(68 downto 0);
	-- 計(jì)數(shù)器時(shí)鐘選擇信號(hào)和計(jì)數(shù)器計(jì)數(shù)到達(dá)上閾的指示信號(hào)
	overflow : in std_logic;
	-- 提供給CPU的接口信號(hào)
	wrfull: in std_logic;
	wrreq : out std_logic;
	wrclk : out std_logic;
	TxD  : out std_logic;
	pv_in:out std_logic_vector(DATA_BIT-1 downto 0);
	recv_bus : out std_logic_vector(DATA_BIT-1 downto 0)
	 );
	
end recv_core;


architecture recv_core of recv_core is

-- 內(nèi)部信號(hào)
signal state : UART_STATE := UART_IDLE;
signal reg:std_logic;
signal reg4:std_logic_vector(5 downto 0);
signal count1:integer;
signal error :std_logic;
signal full:std_logic := '0';

begin
    wrclk <= clk;
	-- 主過程
	main: process(clk)
	begin
        if rising_edge(clk) then
		      case state is
		    	when UART_IDLE =>      -- 空閑狀態(tài)
		        if wrfull='1' then
		              full <= wrfull;
					  count1 <= 0;
		              state <= SEND_BACK;
		        else 		            
		     		if new_data = '1' then -- 當(dāng)信號(hào)監(jiān)測(cè)器監(jiān)測(cè)到數(shù)據(jù)時(shí),new_data變?yōu)?#039;1'
		    			reset_parts <= '0';-- 復(fù)位子模塊
		   	    		ce_parts <= '0';   -- 子模塊使能無效
		   	    		reset_shift <= '1';
		                sel_TxD <= '0';
		                error <= '0';
		                wrreq <= '0';
		   	    		state <= UART_RECV;-- 改變狀態(tài)為接收
		   	    	else	
		    	        sel_TxD <= '0';
                        error <= '0';
		    	        wrreq <= '0';
		    	        reset_dt <= '1';   -- 停止對(duì)信號(hào)監(jiān)測(cè)器的復(fù)位
		    		end if;
               end if; 
				-------- 數(shù)據(jù)接收狀態(tài)--------
				-- 接收狀態(tài)
				when UART_RECV =>
				
				if overflow = '1' then     -- 如果overflow變?yōu)?quot;1",表示接收完成
					reg <= regs(65);
					recv_bus <= regs(DATA_BIT downto 1);-- 總線數(shù)據(jù)輸出
					pv_in <= regs(DATA_BIT downto 1);
					state <= UART_END_RECV;-- 改變狀態(tài)為接收完成
				else
					reset_parts <= '1';    -- 子模塊復(fù)位信號(hào)無效
					ce_parts <= '1';       -- 子模塊使能信號(hào)有效
					reset_shift <= '1';
				end if;
				
				-- 接收完成狀態(tài)
				when UART_END_RECV =>
				
				if not( reg = parity) then
				    error <= '1';
				else
					wrreq <= '1';		   -- 輸出接收指示信號(hào)  
				end if;
				ce_parts <= '0';              -- 子模塊使能信號(hào)無效
				count1 <= 0;
				state <= SEND_BACK;           -- 改變狀態(tài)為空閑
				
				when SEND_BACK =>
				    if not(count1 = 6) then
		        	   sel_TxD <= '1';
                       TxD <= reg4(5- count1);
                       count1 <= count1 +1;
                     else
                        sel_TxD <= '0';
                        full <= '0';
 	        			reset_dt <= '0';              -- 復(fù)位信號(hào)監(jiān)測(cè)器
                        state <= UART_IDLE;
                    end if;
                   wrreq <= '0';

				when others =>                -- 如果產(chǎn)生未知狀態(tài),輸出錯(cuò)誤信息
				error <= '1';
				state <= UART_IDLE;           -- 恢復(fù)到空閑狀態(tài)
			  end case;
		end if;
	end process;	
  ---------------------------   
    process (error, full)
    begin 
          if rising_edge(clk) then
              if error = '1' and full ='0' then      --發(fā)錯(cuò)
                 reg4 <= "000001";
              elsif error = '0' and full = '1' then   --fifo滿
                 reg4 <= "000010";
              else                        --正確
                 reg4 <= "000000";
              end if;
           end if;
    end process;
 
end recv_core;

?? 快捷鍵說明

復(fù)制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號(hào) Ctrl + =
減小字號(hào) Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
中文字幕亚洲一区二区av在线| 日韩激情av在线| 视频一区二区欧美| 成人黄色在线视频| 欧美成人女星排名| 亚洲一区二区三区在线看| 国产成人在线视频网站| 欧美电影在线免费观看| 自拍视频在线观看一区二区| 黄页网站大全一区二区| 欧美丰满少妇xxxxx高潮对白| 综合网在线视频| 成人性生交大片免费看在线播放| 日韩西西人体444www| 亚洲国产日韩精品| 一本色道a无线码一区v| 国产精品另类一区| 国产成人精品午夜视频免费| 欧美不卡一区二区三区| 秋霞av亚洲一区二区三| 欧美高清视频在线高清观看mv色露露十八 | 亚洲国产欧美日韩另类综合| 成人午夜视频网站| 久久久精品蜜桃| 国精产品一区一区三区mba视频| 91精品国产91综合久久蜜臀| 亚洲国产成人va在线观看天堂| 在线观看一区二区精品视频| 亚洲人成人一区二区在线观看| www.爱久久.com| 中文字幕在线一区免费| www.日韩在线| 亚洲乱码国产乱码精品精98午夜| 成人在线综合网| 亚洲欧洲美洲综合色网| 91丨porny丨国产| 亚洲欧美aⅴ...| 欧美三区免费完整视频在线观看| 亚洲一区二区三区小说| 欧美精品一级二级| 91免费视频大全| 日韩美女精品在线| 午夜精品久久久| 欧美日韩一区二区在线观看| 午夜久久久久久久久| 精品乱人伦小说| 国产乱子伦一区二区三区国色天香| 91国产免费看| 国产 欧美在线| 精品在线一区二区三区| 香蕉久久夜色精品国产使用方法| 国产精品电影一区二区| 欧美精品一区二区三区在线播放 | 精品一区二区三区不卡| 亚洲一二三四在线| 亚洲欧洲综合另类| 中文字幕精品三区| 国产午夜亚洲精品羞羞网站| 欧美一区二区视频在线观看2022| 欧美性猛交xxxxxx富婆| 99精品视频一区| 成人一区二区三区中文字幕| 韩国av一区二区三区在线观看| 日韩国产在线一| 污片在线观看一区二区| 亚洲综合免费观看高清完整版在线| 成人欧美一区二区三区在线播放| 日本一区二区综合亚洲| 久久综合久久综合久久综合| 精品女同一区二区| 欧美一区二区人人喊爽| 666欧美在线视频| 91精品国产综合久久久久久| 欧美色视频一区| 欧美日韩五月天| 在线播放一区二区三区| 在线不卡免费欧美| 日韩欧美一级二级三级久久久| 91精品国产色综合久久久蜜香臀| 欧美日韩国产天堂| 91精品国产色综合久久不卡蜜臀| 欧美一区二区三区四区高清| 欧美一级欧美三级在线观看| 日韩视频在线观看一区二区| 精品日韩一区二区三区免费视频| 精品国产91久久久久久久妲己| 久久综合九色综合97婷婷| 国产性天天综合网| 亚洲日本护士毛茸茸| 亚洲精品视频一区| 日韩国产欧美在线视频| 久久精品二区亚洲w码| 国产精品一二三四五| 成人免费高清视频在线观看| 91色在线porny| 欧美日本一区二区三区四区| 日韩一级黄色大片| 国产香蕉久久精品综合网| 亚洲特级片在线| 三级不卡在线观看| 久久99久久99| 97精品电影院| 6080yy午夜一二三区久久| 精品国产乱码久久久久久老虎| 日本一区二区免费在线观看视频| 中文字幕一区二区三区在线播放| 亚洲亚洲人成综合网络| 国产综合色产在线精品 | 91影院在线观看| 51精品久久久久久久蜜臀| 久久精品一区四区| 亚洲高清免费观看高清完整版在线观看 | 欧美精品电影在线播放| 久久久蜜桃精品| 亚洲综合免费观看高清在线观看| 毛片av中文字幕一区二区| 成人a免费在线看| 欧美一区二区三区思思人| 国产精品久久一卡二卡| 蜜臀av国产精品久久久久 | 依依成人综合视频| 国产尤物一区二区在线| 一本大道av一区二区在线播放| 欧美一级日韩免费不卡| 亚洲欧美日韩小说| 国产精品自拍三区| 欧美日韩国产精选| 国产精品网站在线| 久久精品久久精品| 欧美在线一二三| 国产精品欧美久久久久一区二区| 日精品一区二区| 色婷婷av一区二区三区软件| 精品国产一区二区三区忘忧草| 一区二区在线观看不卡| 丰满亚洲少妇av| 日韩免费成人网| 亚洲成人动漫在线观看| 99r国产精品| 久久久久99精品国产片| 天堂va蜜桃一区二区三区漫画版| av中文一区二区三区| 精品国产乱码久久久久久免费| 日精品一区二区三区| 欧美少妇bbb| 玉米视频成人免费看| 成人h动漫精品一区二| 国产日韩欧美一区二区三区乱码| 奇米色一区二区三区四区| 欧美性大战久久| 一区二区三区四区视频精品免费| 成人国产精品免费网站| 久久精品一区二区三区不卡牛牛| 老色鬼精品视频在线观看播放| 欧美日韩一区久久| 亚洲第一会所有码转帖| 日本精品一区二区三区高清 | 国产麻豆精品在线观看| 日韩精品一区在线观看| 五月综合激情婷婷六月色窝| 欧美午夜视频网站| 亚洲成人免费在线观看| 欧美午夜不卡视频| 亚洲成人精品在线观看| 欧美日韩一区二区三区高清| 一区二区三区四区蜜桃 | 欧美一区二区在线看| 免费成人性网站| 精品三级在线观看| 久久成人久久爱| 久久亚洲二区三区| 国产乱子伦视频一区二区三区| 久久精品夜色噜噜亚洲a∨| 国产一区二区不卡老阿姨| 久久久久成人黄色影片| 成人免费精品视频| 亚洲欧美一区二区三区极速播放| 91久久国产最好的精华液| 一区二区三区四区av| 欧美视频一区二| 青青草成人在线观看| 26uuu精品一区二区| 国产高清精品在线| 亚洲三级久久久| 欧美精选在线播放| 国产综合色产在线精品| 日本一区二区成人| 91精品91久久久中77777| 亚洲成人在线观看视频| 精品久久久影院| 成人av综合在线| 亚洲高清在线视频| 欧美精品一区二区三区高清aⅴ| 高清久久久久久| 一区二区三区不卡视频在线观看 | 国产又粗又猛又爽又黄91精品| 中国av一区二区三区| 欧洲精品视频在线观看| 另类小说图片综合网| 亚洲私人影院在线观看|