?? mul50.vhd
字號:
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 16:53:54 04/09/08
-- Design Name:
-- Module Name: mul50 - Behavioral
-- Project Name:
-- Target Device:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity mul50 is
port( mul50_in:in std_logic_vector(12 downto 0); --msb_lsb
mul50_out:out std_logic_vector(12 downto 0)
);
end mul50;
architecture Behavioral of mul50 is
begin
mul50_out(0)<=mul50_in(2) xor mul50_in(3) xor mul50_in(9) xor mul50_in(8) xor mul50_in(1) xor mul50_in(0);
mul50_out(1)<=mul50_in(4) xor mul50_in(10) xor mul50_in(8);
mul50_out(2)<=mul50_in(5) xor mul50_in(11) xor mul50_in(9);
mul50_out(3)<=mul50_in(2) xor mul50_in(3) xor mul50_in(12) xor mul50_in(1) xor mul50_in(0) xor mul50_in(6) xor mul50_in(8) xor mul50_in(9) xor mul50_in(10);
mul50_out(4)<=mul50_in(4) xor mul50_in(11) xor mul50_in(0) xor mul50_in(10) xor mul50_in(8) xor mul50_in(7);
mul50_out(5)<=mul50_in(8) xor mul50_in(11) xor mul50_in(9) xor mul50_in(12) xor mul50_in(0) xor mul50_in(5) xor mul50_in(1);
mul50_out(6)<=mul50_in(10) xor mul50_in(2) xor mul50_in(12) xor mul50_in(6) xor mul50_in(9) xor mul50_in(1);
mul50_out(7)<=mul50_in(10) xor mul50_in(7) xor mul50_in(2) xor mul50_in(11) xor mul50_in(3);
mul50_out(8)<=mul50_in(3) xor mul50_in(11) xor mul50_in(8) xor mul50_in(4) xor mul50_in(12);
mul50_out(9)<=mul50_in(12) xor mul50_in(4) xor mul50_in(9) xor mul50_in(5);
mul50_out(10)<=mul50_in(5) xor mul50_in(6) xor mul50_in(0) xor mul50_in(10);
mul50_out(11)<=mul50_in(6) xor mul50_in(1) xor mul50_in(11) xor mul50_in(7) xor mul50_in(0);
mul50_out(12)<=mul50_in(1) xor mul50_in(12) xor mul50_in(8) xor mul50_in(2) xor mul50_in(0) xor mul50_in(7);
end Behavioral;
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -