亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

蟲蟲首頁| 資源下載| 資源專輯| 精品軟件
登錄| 注冊

end-to-end

  • 用匯編設計的基于文本編輯器

    1 任務 設計一個文本編輯器。 2 基本要求 1 如圖所示,設計一個有菜單欄的編輯窗口,在該窗口可以實現(xiàn)文本的輸入,利用DEL鍵、BackSpace鍵、Home鍵、End鍵、上下左右光標鍵,實現(xiàn)對輸入文本的全屏幕編輯。 2 實現(xiàn)文件的新建、打開、保存、另存為與退出等功能。 包含 設計思路、技術報告、和不同階段的設計源代碼 擴展要求 1 要求使用彩色組和背景顏色來設計界面顏色。 2 模擬一些著名編輯器(如Source Insight)的其它功能,如比較詳細的幫助功能,對特定的命令或保留字(如C語言或匯編語言)能顯示不同的醒目顏色。 3 自己參考其它編輯器進行發(fā)揮。

    標簽: 匯編 文本編輯器

    上傳時間: 2013-11-03

    上傳用戶:lhc9102

  • lcd計數(shù)顯示程序

    library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is     Port ( clk : in std_logic;      resetn : in std_logic;            dout : out std_logic_vector(7 downto 0);            lcd_en : out std_logic;            lcd_rs : out std_logic;            lcd_rw   : out std_logic); end counter;

    標簽: lcd 計數(shù)顯示 程序

    上傳時間: 2013-10-30

    上傳用戶:wqxstar

  • mm to mil tool_mm轉mil轉換工具

    mm to mil tool,mm to mil tool_mm轉mil轉換工具

    標簽: mil tool_mm mm to

    上傳時間: 2013-10-31

    上傳用戶:515414293

  • mm to mil tool_mm轉mil轉換工具

    mm to mil tool,mm to mil tool_mm轉mil轉換工具

    標簽: mil tool_mm mm to

    上傳時間: 2013-11-14

    上傳用戶:crazyer

  • Protel DXP快捷鍵大全

    enter——選取或啟動 esc——放棄或取消 f1——啟動在線幫助窗口 tab——啟動浮動圖件的屬性窗口 pgup——放大窗口顯示比例 pgdn——縮小窗口顯示比例 end——刷新屏幕 del——刪除點取的元件(1個) ctrl+del——刪除選取的元件(2個或2個以上) x+a——取消所有被選取圖件的選取狀態(tài) x——將浮動圖件左右翻轉 y——將浮動圖件上下翻轉 space——將浮動圖件旋轉90度 crtl+ins——將選取圖件復制到編輯區(qū)里 shift+ins——將剪貼板里的圖件貼到編輯區(qū)里 shift+del——將選取圖件剪切放入剪貼板里 alt+backspace——恢復前一次的操作 ctrl+backspace——取消前一次的恢復 crtl+g——跳轉到指定的位置 crtl+f——尋找指定的文字  

    標簽: Protel DXP 快捷鍵

    上傳時間: 2013-11-01

    上傳用戶:a296386173

  • USB TO RS232 RS485 UART轉接板電路原理圖

    USB TO RS232 RS485 UART轉接板電路原理圖

    標簽: RS UART USB 232

    上傳時間: 2013-10-22

    上傳用戶:macarco

  • program to trasmit data to a TI92 with the TI Graph-Link

    program to trasmit data to a TI92 with the TI Graph-Link

    標簽: Graph-Link program trasmit data

    上傳時間: 2015-01-03

    上傳用戶:youke111

  • Transfer Files to and from an FTP Server

    Transfer Files to and from an FTP Server

    標簽: Transfer Server Files from

    上傳時間: 2013-12-17

    上傳用戶:jing911003

  • This book introduces embedded systems to C and C++ programmers. Topics include testing memory device

    This book introduces embedded systems to C and C++ programmers. Topics include testing memory devices, writing and erasing Flash memory, verifying nonvolatile memory contents, controlling on-chip peripherals, device driver design and implementation, optimizing embedded code for size and speed, and making the most of C++ without a performance penalty. Pages : 336 Slots : 1

    標簽: programmers introduces embedded include

    上傳時間: 2013-12-10

    上傳用戶:shizhanincc

  • CGAL is a collaborative effort of several sites in Europe and Israel. The goal is to make the most i

    CGAL is a collaborative effort of several sites in Europe and Israel. The goal is to make the most important of the solutions and methods developed in computational geometry available to users in industry and academia in a C++ library. The goal is to provide easy access to useful, reliable geometric algorithms

    標簽: collaborative several Europe Israel

    上傳時間: 2015-01-09

    上傳用戶:refent

主站蜘蛛池模板: 奉节县| 温宿县| 故城县| 汉源县| 邢台市| 广宁县| 德阳市| 深水埗区| 叶城县| 辛集市| 金堂县| 沭阳县| 阿鲁科尔沁旗| 廊坊市| 合山市| 邵东县| 嘉荫县| 天水市| 巴里| 方正县| 平和县| 绍兴市| 承德市| 正安县| 隆昌县| 成武县| 临西县| 贞丰县| 松桃| 靖西县| 工布江达县| 湟中县| 乌鲁木齐市| 祁门县| 邵阳市| 巴林左旗| 诸城市| 油尖旺区| 龙陵县| 文登市| 河西区|