亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? sdrm_t.vhd

?? Xilinx Sdram控制器VHDL源代碼
?? VHD
字號:
library IEEE;use IEEE.std_logic_1164.all;use IEEE.numeric_STD.all;library UNISIM;--use UNISIM.vcomponents.all;entity sdrm_t is port (	sd_add_o: out unsigned(10 downto 0);	sd_ras_o: out std_logic;	sd_cas_o: out std_logic;	sd_we_o: out std_logic;	sd_ba_o: out std_logic;	ready_o: out std_logic;	Locked_j: out std_logic;	Locked_i: out std_logic;	kid: out std_logic;	auto_ref_out: out std_logic;	rcd_end: out std_logic;	sd_doe_n: out std_logic_vector(3 downto 0);	AD_tri: out std_logic;	write_st: in std_logic;	auto_ref_in: in std_logic;	Locked1: in std_logic;	Locked2: in std_logic;	Clk_i: in std_logic;	Clk_j: in std_logic;	Act_st: in std_logic_vector(2 downto 0);	rcd_c_max: in unsigned(1 downto 0);	cas_lat_max: in unsigned(1 downto 0);	burst_max: in unsigned(2 downto 0);	ki_max: in unsigned(3 downto 0);	ref_max: in unsigned(15 downto 0);	Add_reg: in unsigned(21 downto 2));end entity sdrm_t;architecture sdrm_t_arch of sdrm_t iscomponent sdrmc_state port (	pre_sd_doe_n : out std_logic;	pre_sd_doe2_n, pre_sd_ready, pre_sd_add_mx: out std_logic;	pre_sd_ras_p: out std_logic;	pre_sd_we_p: out std_logic; 	pre_clr_ref: out std_logic;	pre_sd_cas_p: out std_logic;	pre_ld_cslt: out std_logic;	pre_ld_brst: out std_logic;	pre_ld_rcd: out std_logic;	pre_ad_tri: out std_logic;	Reset, Clk, brst_end, cslt_end, rcd_end: in std_logic;	auto_ref, ki_end, clr_ref: in std_logic;	Act_st: in std_logic_vector(2 downto 0);	write_st: in std_logic);end component;component rcd_cntr  port (rcd_end : out std_logic;  	Reset : in std_logic;	Clk : in std_logic;	ld_rcd : in std_logic;	rcd_max : in unsigned(1 downto 0));end component;component brst_cntrport (	brst_end: out std_logic;	brst_end_m1: out std_logic;	Reset: in std_logic;	Clk: in std_logic;	ld_brst: in std_logic;	brst_max: in unsigned(2 downto 0));end component;component cslt_cntr  port (cslt_end : out std_logic;  	Reset : in std_logic;	Clk : in std_logic;	ld_cslt : in std_logic;	cslt_max : in unsigned(1 downto 0));end component;component ref_cntrport (	auto_ref: out std_logic;	p_auto_ref: out std_logic;	Reset: in std_logic;	Clk: in std_logic;	clr_ref: in std_logic;	ref_max: in unsigned(15 downto 0));end component;component ki_cntr  port (ki_end : out std_logic;  	Reset : in std_logic;	Clk : in std_logic;	ld_ki : in std_logic;	ki_max : in unsigned(3 downto 0));end component;component SRL16 port (   Q : out std_logic;   A0 : in std_logic;   A1 : in std_logic;   A2 : in std_logic;   A3 : in std_logic;   D : in std_logic;   CLK : in std_logic );end component;signal sd_doe_n_temp: std_logic_vector(3 downto 0);signal clr_ref: std_logic;signal auto_ref_s: std_logic;signal pre_locked: std_logic;signal brst_end_m1: std_logic;signal brst_end: std_logic;signal clr_ref_d: std_logic;signal cslt_end: std_logic;signal ki_end: std_logic;signal p_auto_ref: std_logic;signal sd_add_mx: std_logic;signal sd_brst: std_logic;signal ld_cslt: std_logic;signal pre_sd_ras_p: std_logic;signal pre_sd_cas_p: std_logic;signal pre_sd_we_p: std_logic;signal pre_sd_doe_n: std_logic;signal pre_sd_doe2_n: std_logic;signal pre_sd_add_mx: std_logic;signal pre_ld_cslt: std_logic;signal pre_ld_brst: std_logic;signal pre_ld_rcd: std_logic;signal pre_clr_ref: std_logic;signal pre_sd_ready: std_logic;signal pre_ad_tri: std_logic;signal ld_rcd: std_logic;signal ad_tri_ref: std_logic;signal ld_brst: std_logic;signal ad_tri_reg: std_logic;signal rcd_end_int: std_logic;signal Locked_j_int: std_logic;signal Locked_i_int: std_logic;signal one : std_logic := '1';signal zero : std_logic := '0';beginsdrm_st : sdrmc_state port map (	Reset => Locked_i_int, Clk => Clk_i, Act_st => Act_st,	write_st => write_st, pre_sd_ras_p => pre_sd_ras_p,	pre_sd_cas_p => pre_sd_cas_p, pre_sd_we_p => pre_sd_we_p, 	pre_sd_doe_n => pre_sd_doe_n, pre_sd_doe2_n => pre_sd_doe2_n, 	pre_sd_add_mx => pre_sd_add_mx, cslt_end => cslt_end, 	pre_ld_cslt => pre_ld_cslt, brst_end => brst_end, 	pre_ld_brst => pre_ld_brst, rcd_end => rcd_end_int, 	pre_ld_rcd => pre_ld_rcd, auto_ref => auto_ref_in, 	pre_clr_ref => pre_clr_ref, clr_ref => clr_ref, 	ki_end => ki_end, pre_sd_ready => pre_sd_ready, 	pre_ad_tri => pre_ad_tri);   cslt_cntr_inst : cslt_cntr port map (	Reset => Locked_i_int, Clk => Clk_i, 	cslt_max => cas_lat_max,	cslt_end => cslt_end, ld_cslt => ld_cslt);brst_cntr_inst : brst_cntr port map (	Reset => Locked_i_int, Clk => Clk_i, brst_max => burst_max,	brst_end => brst_end, brst_end_m1 => brst_end_m1, 	ld_brst => ld_brst);   rcd_cntr_inst : rcd_cntr port map (	Reset => Locked_i_int, Clk => Clk_i, rcd_max => rcd_c_max,	rcd_end => rcd_end_int, ld_rcd => ld_rcd);   ref_cntr_inst : ref_cntr port map ( 	Reset => Locked_j_int, Clk => Clk_j, ref_max => ref_max,	auto_ref => auto_ref_s, p_auto_ref => p_auto_ref,	clr_ref => clr_ref);   ki_cntr_inst : ki_cntr port map ( 	Reset => Locked_i_int, Clk => Clk_i, ki_max => ki_max,	ki_end => ki_end, ld_ki => clr_ref);   --delay pre_locked signal by 5 clock periodsSRL16_inst : SRL16 port map (	Q => Locked_j_int, A0 => zero, A1 => zero, A2 => one, A3 => zero, 	D => pre_locked, CLK => Clk_j);--delay pre_ad_tri by 5 clk periodsI_AD_tri : SRL16 port map (	Q => AD_tri, A0 => zero, A1 => zero, A2 => one, A3 => zero, 	D => pre_ad_tri, CLK => Clk_i);clr_ref <= clr_ref_d;kid <= (p_auto_ref or auto_ref_s or (not(ki_end)) );auto_ref_out <= auto_ref_s;pre_locked <= (Locked1 and Locked2);sd_doe_n_temp <=  ( not((not(pre_sd_doe_n) and not(brst_end_m1) and not(brst_end)) or (not(pre_sd_doe2_n) and rcd_end_int))        & not((not(pre_sd_doe_n) and not(brst_end_m1) and not(brst_end)) or (not(pre_sd_doe2_n) and rcd_end_int))        & not((not(pre_sd_doe_n) and not(brst_end_m1) and not(brst_end)) or (not(pre_sd_doe2_n) and rcd_end_int))        & not((not(pre_sd_doe_n) and not(brst_end_m1) and not(brst_end)) or (not(pre_sd_doe2_n) and rcd_end_int)) );rcd_end <= rcd_end_int;Locked_j <= Locked_j_int;Locked_i <= Locked_i_int;process (Clk_i)beginif rising_edge(Clk_i) then  Locked_i_int <= Locked_j_int;end if;end process;process (Clk_i, Locked_i_int)beginif rising_edge(Clk_i) then  if (Locked_i_int = '0') then    sd_ras_o <= '1';    sd_cas_o <= '1';    sd_we_o <= '1';    sd_doe_n <= "1111";    clr_ref_d <= '0';    sd_add_mx <= '0';    ld_cslt <= '1';    sd_brst <= '1';    ready_o <= '0';    ld_rcd <= '1';    sd_add_o <= "00000000000";    sd_ba_o <= '0';    AD_tri_ref <= '1';  else    ld_cslt <=  pre_ld_cslt;    ld_brst <=  pre_ld_brst;    ld_rcd  <=  pre_ld_rcd;    clr_ref_d <= pre_clr_ref;	    sd_add_mx <=  pre_sd_add_mx;    sd_doe_n  <= sd_doe_n_temp;      ready_o  <= transport pre_sd_ready after 3 ns;    sd_ras_o <= transport pre_sd_ras_p after 3 ns;    sd_cas_o <= transport pre_sd_cas_p after 3 ns;    sd_we_o  <= transport pre_sd_we_p after 3 ns;    AD_tri_reg <= pre_ad_tri;    if (sd_add_mx = '1') then       sd_add_o(10 downto 0) <= transport Add_reg(20 downto 10) after 3 ns;    else     sd_add_o(10 downto 0) <= transport ("101" & Add_reg(9 downto 2)) after 3 ns;    end if;    sd_ba_o <= transport Add_reg(21) after 3 ns;  end if;end if;end process;end architecture sdrm_t_arch;  

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
97久久人人超碰| 懂色一区二区三区免费观看| 亚洲天天做日日做天天谢日日欢 | 免费精品视频最新在线| 午夜精品一区在线观看| 亚洲一区免费视频| 亚洲国产成人91porn| 日韩成人一级大片| 老司机免费视频一区二区三区| 免费在线看成人av| 国产一区二区调教| 99久久国产综合精品麻豆| 99国产精品久| 欧美日韩成人在线| 日韩精品在线一区二区| 国产日韩欧美综合一区| 中文字幕欧美一| 亚洲成人av电影在线| 蜜臀av性久久久久蜜臀aⅴ| 精一区二区三区| 99re免费视频精品全部| 欧美日韩国产首页| 久久免费视频色| 亚洲美女精品一区| 久久er精品视频| 91在线丨porny丨国产| 欧美日韩精品电影| 国产日韩三级在线| 午夜影院在线观看欧美| 国产一区二区h| 欧美日韩亚洲综合在线 | 精品免费国产一区二区三区四区| 精品成人免费观看| 一区二区三区精品| 国产又粗又猛又爽又黄91精品| www.亚洲人| 欧美本精品男人aⅴ天堂| 成人欧美一区二区三区黑人麻豆| 亚洲电影中文字幕在线观看| 国产精品99久久久久久似苏梦涵| 色婷婷亚洲精品| 久久久美女艺术照精彩视频福利播放| 亚洲激情男女视频| 天堂成人免费av电影一区| 国产一区不卡视频| 欧美电影影音先锋| 日韩伦理电影网| 国产成人综合亚洲91猫咪| 在线不卡一区二区| 亚洲欧美一区二区三区国产精品| 国产主播一区二区| 欧美一区二区三区四区视频| 日韩码欧中文字| 国产成人av在线影院| 日韩免费观看2025年上映的电影| 亚洲综合网站在线观看| av电影一区二区| 久久网站热最新地址| 男人的天堂亚洲一区| 欧洲色大大久久| 亚洲欧美自拍偷拍色图| 成人精品高清在线| 久久久精品天堂| 美女爽到高潮91| 日韩视频免费观看高清完整版 | 日韩av电影免费观看高清完整版在线观看| 成人午夜电影网站| 久久日韩精品一区二区五区| 美国十次综合导航| 欧美一区二区免费视频| 日本免费新一区视频| 欧美日韩国产成人在线免费| 亚洲在线中文字幕| 一本大道久久a久久综合婷婷| 国产精品女主播av| 成人av资源在线观看| 国产精品久久久久三级| 国产成人精品免费| 国产精品国产三级国产aⅴ入口 | 6080日韩午夜伦伦午夜伦| 亚洲国产精品人人做人人爽| 欧美视频一区在线| 亚洲丶国产丶欧美一区二区三区| 欧美三级日韩三级| 国产成人午夜片在线观看高清观看| 欧美videos大乳护士334| 精品一区二区在线免费观看| 久久免费偷拍视频| 国产成人精品免费| 亚洲精品成人少妇| 色哟哟一区二区在线观看| 亚洲一二三四久久| 日韩一区二区三区免费看| 国产精品2024| 亚洲天堂a在线| 欧美日韩久久久一区| 久久精品国产精品亚洲精品| 久久久久久久久99精品| 91网站在线播放| 日本在线播放一区二区三区| 久久综合久久综合久久综合| 99久久精品一区二区| 亚洲va韩国va欧美va| 久久精品人人做| 91黄色免费网站| 国模少妇一区二区三区| 一区二区三区四区高清精品免费观看| 88在线观看91蜜桃国自产| 国产999精品久久久久久| 亚洲成人av免费| 久久久精品国产99久久精品芒果| 91免费在线视频观看| 美女国产一区二区| 亚洲日本va午夜在线影院| 欧美一区中文字幕| 91丨九色丨蝌蚪富婆spa| 韩国一区二区在线观看| 亚洲综合成人网| 久久夜色精品国产噜噜av| 欧美亚洲另类激情小说| 岛国精品在线观看| 麻豆精品一二三| 亚洲一级在线观看| 一区在线中文字幕| 久久―日本道色综合久久| 69堂成人精品免费视频| caoporn国产精品| 黑人精品欧美一区二区蜜桃 | 美脚の诱脚舐め脚责91 | 欧美一级日韩免费不卡| 色综合久久久久综合| 国产99精品国产| 韩国三级在线一区| 美日韩一区二区| 日韩中文字幕亚洲一区二区va在线| 日韩理论片网站| 中文无字幕一区二区三区| 久久先锋影音av鲁色资源网| 91精品国产综合久久久久久漫画 | 国产精品女人毛片| 久久午夜老司机| 精品久久久久久久人人人人传媒| 欧美精品久久一区二区三区 | 青青草视频一区| 五月综合激情婷婷六月色窝| 樱花草国产18久久久久| 中文幕一区二区三区久久蜜桃| 26uuu欧美| 久久久噜噜噜久噜久久综合| 精品国产网站在线观看| 日韩精品一区二区在线| 欧美大白屁股肥臀xxxxxx| 91.com视频| 日韩一区二区精品葵司在线| 日韩一级片在线播放| 日韩一区二区中文字幕| 欧美大胆一级视频| 久久色成人在线| 中文字幕一区二区日韩精品绯色| 亚洲欧洲国产专区| 亚洲黄一区二区三区| 图片区小说区国产精品视频| 日韩成人免费看| 精品一区二区免费在线观看| 粉嫩av亚洲一区二区图片| 99在线热播精品免费| 欧美三级午夜理伦三级中视频| 51精品国自产在线| 精品国产乱子伦一区| 久久精品网站免费观看| 亚洲男人都懂的| 青青草视频一区| 国产精品一区二区在线看| 91最新地址在线播放| 91精品国产综合久久久久久漫画| 欧美一区二区三区免费视频 | 一区二区三区欧美| 亚洲成精国产精品女| 久久99精品国产麻豆不卡| 粉嫩嫩av羞羞动漫久久久| 91蜜桃传媒精品久久久一区二区| 欧美日韩在线三级| 久久久另类综合| 亚洲成在线观看| 成人av小说网| 日韩欧美一区二区免费| 中文子幕无线码一区tr| 亚洲午夜激情av| 成人免费观看av| 日韩欧美国产一区二区三区| 国产精品美女久久久久aⅴ| 视频一区中文字幕国产| 国产美女精品在线| 欧美视频在线一区| 国产精品久久综合| 久久99精品久久久久久国产越南 | 韩日欧美一区二区三区| 在线观看91精品国产入口| 国产亚洲欧美日韩在线一区| 亚洲va国产va欧美va观看|