亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? clock.vhd

?? VHDL實現數字時鐘
?? VHD
字號:
--
-- 作為一個簡單示例,本實驗實現一個能顯示小時,分鐘,秒的數字時鐘。
-- 
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY clock IS
   PORT (
      clk                     : IN std_logic;   
      rst                     : IN std_logic;   
      dataout                 : OUT std_logic_vector(7 DOWNTO 0);   
      en                      : OUT std_logic_vector(7 DOWNTO 0));   
END clock;

ARCHITECTURE arch OF clock IS
 SIGNAL div_cnt                  :  std_logic_vector(18 DOWNTO 0); --分頻計數器  
 SIGNAL data4                    :  std_logic_vector(3 DOWNTO 0);    
 SIGNAL en_xhdl                   :  std_logic_vector(7 DOWNTO 0);
 SIGNAL dataout_xhdl1            :  std_logic_vector(7 downto 0);

 SIGNAL s1_cnt                   :  std_logic_vector(3 DOWNTO 0); ---秒的個位計數器 逢9進
 SIGNAL s2_cnt                   :  std_logic_vector(2 DOWNTO 0); ---秒的十位計數器 逢6進
 SIGNAL m1_cnt                   :  std_logic_vector(3 DOWNTO 0); ---分的個位計數器 逢9進
 SIGNAL m2_cnt                   :  std_logic_vector(2 DOWNTO 0); ---分的十位計數器 逢6進
 SIGNAL h1_cnt                   :  std_logic_vector(3 DOWNTO 0); ---小時的個位計數器 逢9進
 SIGNAL h2_cnt                   :  std_logic_vector(1 DOWNTO 0); ---小時的十位計數器 逢2進
 SIGNAL s1_over                  :  std_logic;                    ---秒的個位計數器溢出標志
 SIGNAL S2_over                  :  std_logic;                    ---秒的十位計數器溢出標志
 SIGNAL m1_over                  :  std_logic;                    ---分的個位計數器溢出標志
 SIGNAL m2_over                  :  std_logic;                    ---分的個位計數器溢出標志
 SIGNAL h1_over                  :  std_logic;                    ---小時的個位計數器溢出標志

 SIGNAL timer_over               :  std_logic;                    ---時鐘完成24小時運轉

 constant dot      : std_logic_vector(3 downto 0) :="1010";
begin


  PROCESS(clk,rst)
   BEGIN
 
      IF (NOT rst = '1') THEN
         div_cnt <= "000000000000000000000";             
      ELSIF(clk'EVENT AND clk = '1')THEN
         if(div_cnt="1100001101010000000")then
            div_cnt<="0000000000000000000";
         else
         div_cnt <= div_cnt + 1;        
      END IF;
   END PROCESS;

process(div_cnt(20),rst)                 ---秒的個位計數器 逢9進
begin
 if(rst='0')then
   s1_cnt<="0000";
   s1_over<='0';
 elsif(div_cnt(20)'event and div_cnt(20)='1')then
   if(s1_cnt="1001" )then
      s1_cnt<="0000";
      s1_over<='1';
   else
      s1_over<='0';
      s1_cnt<=s1_cnt+1;
   end if;
  end if;
end process;

process(rst,s1_over)                 ---秒的十位計數器 逢5進
begin
 if(rst='0')then
   s2_cnt<="000";
   s2_over<='0';
 elsif(s1_over'event and s1_over='1')then
   if(s2_cnt="101" )then
      s2_cnt<="000";
      s2_over<='1';
   else
      s2_over<='0';
      s2_cnt<=s2_cnt+1;
   end if;
  end if;
end process;

process(rst,s2_over)                 ---分的個位計數器 逢10進
begin
 if(rst='0')then
   m1_cnt<="0000";
   m1_over<='0';
 elsif(s2_over'event and s2_over='1')then
   if(m1_cnt="1001" )then
      m1_cnt<="0000";
      m1_over<='1';
   else
      m1_over<='0';
      m1_cnt<=m1_cnt+1;
   end if;
  end if;
end process;

process(rst,m1_over)                 ---分的十位計數器 逢5進
begin
 if(rst='0')then
   m2_cnt<="000";
   m2_over<='0';
 elsif(m1_over'event and m1_over='1')then
   if(m2_cnt="101" )then
      m2_cnt<="000";
      m2_over<='1';
   else
      m2_over<='0';
      m2_cnt<=m2_cnt+1;
   end if;
  end if;
end process;

process(rst,m2_over,timer_over)                 ---小時的個位計數器 逢9進
begin
 if(rst='0')then
   h1_cnt<="0010";
   h1_over<='0';
 elsif(m2_over'event and m2_over='1')then
   if(h1_cnt="1001" or timer_over='1')then
      h1_cnt<="0000";
      h1_over<='1';
   else
      h1_over<='0';
      h1_cnt<=h1_cnt+1;
   end if;
  end if;
end process;

process(rst,h1_over)                 ---小時的十位計數器 逢2進
begin
 if(rst='0')then
   h2_cnt<="01";
 elsif(h1_over'event and h1_over='1')then
   if(h2_cnt="10" and timer_over='1')then
      h2_cnt<="00";
   else
      h2_cnt<=h2_cnt+1;
   end if;
  end if;
end process;

timer_over<='1' when (h1_cnt="0100" and h2_cnt<="10" ) else 
        '0';

---*******************顯示部分***********************--

en<=en_xhdl;
dataout<=dataout_xhdl1;

process(clk,rst,div_cnt(15 downto 13))
 begin
 if(rst='0')then
 en_xhdl<="11111110";
elsif(clk'event and clk='1')then
 case div_cnt(19 downto 17) is
     when"000"=> en_xhdl<="11111110";
     when"001"=> en_xhdl<="11111101";
     when"010"=> en_xhdl<="11111011";
     when"011"=> en_xhdl<="11110111";
     when"100"=> en_xhdl<="11101111";
     when"101"=> en_xhdl<="11011111";
     when"110"=> en_xhdl<="10111111";
     when"111"=> en_xhdl<="01111111";
     when others=> en_xhdl<="11111110";
  end case;
end if;

 end process;

process(clk,rst,en_xhdl,s1_cnt,s2_cnt,m1_cnt,m2_cnt,h1_cnt,h2_cnt)
begin
if(rst='0')then
   data4<=dot;
elsif(clk'event and clk='1')then
case en_xhdl is
   when "11111110"=> data4<=s1_cnt;
   when "11111101"=> data4<='0'&s2_cnt;
   when "11111011"=> data4<=dot;
   when "11110111"=> data4<=m1_cnt;
   when "11101111"=> data4<='0'&m2_cnt;
   when "11011111"=> data4<=dot;
   when "10111111"=> data4<=h1_cnt;
   when "01111111"=> data4<="00"&h2_cnt;
   when others => data4<=dot;
  end case;
end if;
end process;

process(data4)
begin
  case data4 is
         WHEN "0000" =>
                  dataout_xhdl1 <= "00000011";    
         WHEN "0001" =>
                  dataout_xhdl1 <= "10011111";    
         WHEN "0010" =>
                  dataout_xhdl1 <= "00100101";    
         WHEN "0011" =>
                  dataout_xhdl1 <= "00001101";    
         WHEN "0100" =>
                  dataout_xhdl1 <= "10011001";    
         WHEN "0101" =>
                  dataout_xhdl1 <= "01001001";    
         WHEN "0110" =>
                  dataout_xhdl1 <= "01000001";    
         WHEN "0111" =>
                  dataout_xhdl1 <= "00011111";    
         WHEN "1000" =>
                  dataout_xhdl1 <= "00000001";    
         WHEN "1001" =>
                  dataout_xhdl1 <= "00011001";    
         WHEN "1010" =>
                  dataout_xhdl1 <= "11111101";    
         WHEN "1011" =>
                  dataout_xhdl1 <= "11000001";    
         WHEN "1100" =>
                  dataout_xhdl1 <= "01100011";    
         WHEN "1101" =>
                  dataout_xhdl1 <= "10000101";    
         WHEN "1110" =>
                  dataout_xhdl1 <= "01100001";    
         WHEN "1111" =>
                  dataout_xhdl1 <= "01110001";    
         WHEN OTHERS =>
                  dataout_xhdl1 <= "00000011"; 
         
      END CASE;
   END PROCESS;
end arch;











 

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
韩国v欧美v亚洲v日本v| 欧美性高清videossexo| 日本精品免费观看高清观看| 日韩欧美一区二区免费| 亚洲国产sm捆绑调教视频| 国产高清精品在线| 日韩欧美国产精品| 香蕉久久夜色精品国产使用方法| 北条麻妃一区二区三区| 亚洲精品一区二区三区影院| 亚洲成av人片在www色猫咪| 国产精品综合一区二区三区| 91麻豆精品国产91| 亚洲一级不卡视频| 91影院在线观看| 国产偷国产偷精品高清尤物| 麻豆传媒一区二区三区| 欧美日韩精品免费观看视频| 亚洲素人一区二区| 国产呦精品一区二区三区网站| 欧美日韩在线亚洲一区蜜芽| 国产精品久久久久久户外露出| 日本不卡一二三| 91精品一区二区三区久久久久久| 一区二区三区欧美久久| 日本高清不卡视频| 亚洲三级在线播放| 91国在线观看| 亚洲午夜在线电影| 欧美色图在线观看| 日韩精品乱码av一区二区| 欧美日韩一级大片网址| 婷婷综合在线观看| 777久久久精品| 美国毛片一区二区| 日韩精品一区二区三区四区 | 处破女av一区二区| 日本一区二区三区国色天香| 国产综合色产在线精品| 久久九九全国免费| 成人一级片在线观看| 中文字幕av免费专区久久| 国产成人亚洲综合色影视| 国产日韩欧美一区二区三区乱码 | 亚洲图片一区二区| 亚洲人成亚洲人成在线观看图片| 国产精品亚洲午夜一区二区三区| 日本一区二区三区在线不卡| 激情综合网天天干| 日韩欧美资源站| 最新国产の精品合集bt伙计| 欧美一二三四区在线| 色综合色狠狠天天综合色| 国内精品自线一区二区三区视频| 亚洲成人1区2区| 亚洲三级久久久| 国产精品麻豆一区二区| 精品国产髙清在线看国产毛片 | 亚洲黄色小视频| 国产精品色一区二区三区| 精品国产乱码久久久久久1区2区 | 极品销魂美女一区二区三区| 亚洲一区国产视频| 亚洲欧洲日产国码二区| 国产亚洲欧美一级| 日韩欧美美女一区二区三区| 欧美精品乱人伦久久久久久| 欧美三级视频在线播放| 色婷婷综合久久久久中文一区二区| 不卡视频一二三四| 成人午夜伦理影院| 高清不卡一区二区在线| 国产在线播放一区三区四| 麻豆成人免费电影| 老司机精品视频导航| 久久精品国产免费看久久精品| 日韩在线a电影| 欧美96一区二区免费视频| 三级一区在线视频先锋 | 91久久精品一区二区三| 色av综合在线| 欧美色图第一页| 欧美另类videos死尸| 欧美日韩国产综合一区二区三区| 欧美三日本三级三级在线播放| 精品视频在线免费观看| 欧美日韩亚洲高清一区二区| 欧美区视频在线观看| 欧美一区二区三区日韩| 欧美大胆一级视频| 国产欧美一区二区精品久导航| 中文成人av在线| 亚洲丝袜精品丝袜在线| 一区二区三区毛片| 日韩精品视频网站| 国产一区二区三区在线观看免费 | 欧美日韩在线不卡| 日韩一区二区麻豆国产| 国产丝袜美腿一区二区三区| 中文av字幕一区| 亚洲福利一区二区三区| 日本在线不卡一区| 国产高清在线精品| 色欧美日韩亚洲| 91精品国产乱码久久蜜臀| 久久久99久久| 一区二区三区四区精品在线视频| 日本在线不卡视频一二三区| 国产精品亚洲午夜一区二区三区| 99久久99久久久精品齐齐 | 日韩三级在线免费观看| 久久色在线观看| 亚洲欧美日本在线| 美女视频免费一区| 99热99精品| 日韩午夜激情av| 最新不卡av在线| 另类成人小视频在线| 97久久人人超碰| 欧美成人三级在线| 亚洲综合丝袜美腿| 国产iv一区二区三区| 制服丝袜av成人在线看| 中文在线资源观看网站视频免费不卡 | 国产精品你懂的在线| 午夜久久久久久久久| 成人高清视频在线| 91麻豆精品久久久久蜜臀| 日韩理论片在线| 久久国产免费看| 欧美三级在线视频| 国产精品色眯眯| 蜜桃av噜噜一区| 欧美亚洲免费在线一区| 欧美激情在线一区二区| 美国三级日本三级久久99| 欧美午夜精品久久久| 国产精品美女久久久久久久网站| 免费不卡在线视频| 欧美在线啊v一区| 国产欧美日本一区视频| 美女视频一区在线观看| 欧美日韩一区二区三区视频| 国产精品国产三级国产| 国产精品自拍三区| 日韩一区二区影院| 亚洲国产另类精品专区| caoporn国产一区二区| 久久一夜天堂av一区二区三区| 亚洲成在人线在线播放| 色婷婷激情久久| 中文字幕一区三区| 成人黄色在线网站| 国产欧美久久久精品影院| 国产一区二区视频在线播放| 欧美mv日韩mv国产| 黄页网站大全一区二区| 91精品国产色综合久久| 日韩国产在线观看一区| 欧美性色欧美a在线播放| 亚洲精品成人在线| 91丨porny丨首页| 亚洲美女视频在线| 91成人在线免费观看| 亚洲三级免费电影| 日本丶国产丶欧美色综合| 亚洲品质自拍视频| 在线影院国内精品| 亚洲男同性恋视频| 在线观看视频一区| 亚洲.国产.中文慕字在线| 欧美视频在线一区| 日韩影院精彩在线| 日韩欧美一级片| 精品系列免费在线观看| 久久久久久毛片| av中文一区二区三区| 亚洲伦在线观看| 欧美影院精品一区| 日韩激情中文字幕| 精品国产网站在线观看| 国产河南妇女毛片精品久久久 | 国产99久久精品| 国产精品久久网站| 欧美性xxxxxxxx| 日韩电影一二三区| 久久嫩草精品久久久精品| a美女胸又www黄视频久久| 亚洲同性同志一二三专区| 在线观看日韩高清av| 日本美女视频一区二区| 久久久久久亚洲综合| 91亚洲精品乱码久久久久久蜜桃| 亚洲影院在线观看| 3d成人动漫网站| 国产成人精品一区二区三区四区| 中文字幕一区二区三区av| 欧美在线观看一区二区| 麻豆精品国产91久久久久久| 日本一区二区三区久久久久久久久不|