?? partmul_tp.v
字號(hào):
module partmul_tp; //部分積產(chǎn)生測(cè)試模塊reg [7:0] hin; //reg [8:0] x;reg reset;wire [9:0] part1,part2,part3,part4;wire [7:0] temp;parameter DELY=100;partmul mypartmul(hin,x,reset,part1,part2,part3,part4,temp); //調(diào)用部分積產(chǎn)生模塊initialbegin reset=1; #DELY reset=0; x=9'h63;#DELY reset=1;#DELY hin=8'b01101101;#DELY hin=8'b10110110;#DELY hin=8'b10101010;#DELY hin=8'b01111010;#(DELY*10) $finish;endinitial $monitor($time,,,"hin=%d x=%d reset=%d part1=%d part2=%d part3=%d part4=%d temp=%d",hin,x,reset,part1,part2,part3,part4,temp);endmodule
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號(hào)
Ctrl + =
減小字號(hào)
Ctrl + -