?? small_2c35.vhd
字號(hào):
--megafunction wizard: %Altera SOPC Builder%
--GENERATION: STANDARD
--VERSION: WM1.0
--Legal Notice: (C)2005 Altera Corporation. All rights reserved. Your
--use of Altera Corporation's design tools, logic functions and other
--software and tools, and its AMPP partner logic functions, and any
--output files any of the foregoing (including device programming or
--simulation files), and any associated documentation or information are
--expressly subject to the terms and conditions of the Altera Program
--License Subscription Agreement or other applicable license agreement,
--including, without limitation, that your use is for the sole purpose
--of programming logic devices manufactured by Altera and sold by Altera
--or its authorized distributors. Please refer to the applicable
--agreement for further details.
library altera_vhdl_support;
use altera_vhdl_support.altera_vhdl_support_lib.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity cpu_data_master_arbitrator is
port (
-- inputs:
signal clk : IN STD_LOGIC;
signal cpu_data_master_address : IN STD_LOGIC_VECTOR (14 DOWNTO 0);
signal cpu_data_master_granted_led_pio_s1 : IN STD_LOGIC;
signal cpu_data_master_granted_onchip_ram_s1 : IN STD_LOGIC;
signal cpu_data_master_qualified_request_led_pio_s1 : IN STD_LOGIC;
signal cpu_data_master_qualified_request_onchip_ram_s1 : IN STD_LOGIC;
signal cpu_data_master_read : IN STD_LOGIC;
signal cpu_data_master_read_data_valid_led_pio_s1 : IN STD_LOGIC;
signal cpu_data_master_read_data_valid_onchip_ram_s1 : IN STD_LOGIC;
signal cpu_data_master_requests_led_pio_s1 : IN STD_LOGIC;
signal cpu_data_master_requests_onchip_ram_s1 : IN STD_LOGIC;
signal cpu_data_master_write : IN STD_LOGIC;
signal d1_led_pio_s1_end_xfer : IN STD_LOGIC;
signal d1_onchip_ram_s1_end_xfer : IN STD_LOGIC;
signal onchip_ram_s1_readdata_from_sa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
signal registered_cpu_data_master_read_data_valid_onchip_ram_s1 : IN STD_LOGIC;
signal reset_n : IN STD_LOGIC;
-- outputs:
signal cpu_data_master_address_to_slave : OUT STD_LOGIC_VECTOR (14 DOWNTO 0);
signal cpu_data_master_readdata : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
signal cpu_data_master_reset_n : OUT STD_LOGIC;
signal cpu_data_master_waitrequest : OUT STD_LOGIC
);
attribute auto_dissolve : boolean;
attribute auto_dissolve of cpu_data_master_arbitrator : entity is FALSE;
end entity cpu_data_master_arbitrator;
architecture europa of cpu_data_master_arbitrator is
signal cpu_data_master_run : STD_LOGIC;
signal dummy_sink : STD_LOGIC;
signal internal_cpu_data_master_address_to_slave : STD_LOGIC_VECTOR (14 DOWNTO 0);
signal internal_cpu_data_master_waitrequest : STD_LOGIC;
signal r_0 : STD_LOGIC;
begin
--r_0 master_run cascaded wait assignment, which is an e_assign
r_0 <= Vector_To_Std_Logic(((((((((std_logic_vector'("00000000000000000000000000000001") AND (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(((cpu_data_master_qualified_request_led_pio_s1 OR NOT cpu_data_master_requests_led_pio_s1)))))) AND (((std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR((NOT cpu_data_master_qualified_request_led_pio_s1 OR NOT cpu_data_master_read)))) OR (((std_logic_vector'("00000000000000000000000000000001") AND std_logic_vector'("00000000000000000000000000000001")) AND (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(cpu_data_master_read)))))))) AND (((std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR((NOT cpu_data_master_qualified_request_led_pio_s1 OR NOT cpu_data_master_write)))) OR ((std_logic_vector'("00000000000000000000000000000001") AND (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(cpu_data_master_write)))))))) AND std_logic_vector'("00000000000000000000000000000001")) AND (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR((((cpu_data_master_qualified_request_onchip_ram_s1 OR registered_cpu_data_master_read_data_valid_onchip_ram_s1) OR NOT cpu_data_master_requests_onchip_ram_s1)))))) AND (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(((cpu_data_master_granted_onchip_ram_s1 OR NOT cpu_data_master_qualified_request_onchip_ram_s1)))))) AND (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR((((NOT cpu_data_master_qualified_request_onchip_ram_s1 OR NOT cpu_data_master_read) OR ((registered_cpu_data_master_read_data_valid_onchip_ram_s1 AND cpu_data_master_read)))))))) AND (((std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR((NOT cpu_data_master_qualified_request_onchip_ram_s1 OR NOT cpu_data_master_write)))) OR ((std_logic_vector'("00000000000000000000000000000001") AND (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(cpu_data_master_write)))))))));
--cascaded wait assignment, which is an e_assign
cpu_data_master_run <= r_0;
--optimize select-logic by passing only those address bits which matter.
internal_cpu_data_master_address_to_slave <= Std_Logic_Vector'(A_ToStdLogicVector(cpu_data_master_address(14)) & std_logic_vector'("000") & cpu_data_master_address(10 DOWNTO 0));
--dummy sink, which is an e_mux
dummy_sink <= Vector_To_Std_Logic(((((((((internal_cpu_data_master_address_to_slave OR (std_logic_vector'("00000000000000") & (A_TOSTDLOGICVECTOR(cpu_data_master_requests_led_pio_s1)))) OR (std_logic_vector'("00000000000000") & (A_TOSTDLOGICVECTOR(cpu_data_master_qualified_request_led_pio_s1)))) OR (std_logic_vector'("00000000000000") & (A_TOSTDLOGICVECTOR(d1_led_pio_s1_end_xfer)))) OR internal_cpu_data_master_address_to_slave) OR (std_logic_vector'("00000000000000") & (A_TOSTDLOGICVECTOR(cpu_data_master_read_data_valid_onchip_ram_s1)))) OR (std_logic_vector'("00000000000000") & (A_TOSTDLOGICVECTOR(cpu_data_master_requests_onchip_ram_s1)))) OR (std_logic_vector'("00000000000000") & (A_TOSTDLOGICVECTOR(cpu_data_master_qualified_request_onchip_ram_s1)))) OR (std_logic_vector'("00000000000000") & (A_TOSTDLOGICVECTOR(d1_onchip_ram_s1_end_xfer)))));
--actual waitrequest port, which is an e_register
process (clk, reset_n)
begin
if reset_n = '0' then
internal_cpu_data_master_waitrequest <= Vector_To_Std_Logic(NOT std_logic_vector'("00000000000000000000000000000000"));
elsif clk'event and clk = '1' then
if (std_logic_vector'("00000000000000000000000000000001")) /= std_logic_vector'("00000000000000000000000000000000") then
internal_cpu_data_master_waitrequest <= Vector_To_Std_Logic(NOT (A_WE_StdLogicVector((std_logic'((NOT ((cpu_data_master_read OR cpu_data_master_write)))) = '1'), std_logic_vector'("00000000000000000000000000000000"), (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(((cpu_data_master_run AND internal_cpu_data_master_waitrequest))))))));
end if;
end if;
end process;
--cpu_data_master_reset_n assignment, which is an e_assign
cpu_data_master_reset_n <= reset_n;
--cpu/data_master readdata mux, which is an e_mux
cpu_data_master_readdata <= onchip_ram_s1_readdata_from_sa;
--vhdl renameroo for output signals
cpu_data_master_address_to_slave <= internal_cpu_data_master_address_to_slave;
--vhdl renameroo for output signals
cpu_data_master_waitrequest <= internal_cpu_data_master_waitrequest;
end europa;
library altera_vhdl_support;
use altera_vhdl_support.altera_vhdl_support_lib.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library std;
use std.textio.all;
entity cpu_instruction_master_arbitrator is
port (
-- inputs:
signal clk : IN STD_LOGIC;
signal cpu_instruction_master_address : IN STD_LOGIC_VECTOR (10 DOWNTO 0);
signal cpu_instruction_master_granted_onchip_ram_s1 : IN STD_LOGIC;
signal cpu_instruction_master_qualified_request_onchip_ram_s1 : IN STD_LOGIC;
signal cpu_instruction_master_read : IN STD_LOGIC;
signal cpu_instruction_master_read_data_valid_onchip_ram_s1 : IN STD_LOGIC;
signal cpu_instruction_master_requests_onchip_ram_s1 : IN STD_LOGIC;
signal d1_onchip_ram_s1_end_xfer : IN STD_LOGIC;
signal onchip_ram_s1_readdata_from_sa : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
signal reset_n : IN STD_LOGIC;
-- outputs:
signal cpu_instruction_master_address_to_slave : OUT STD_LOGIC_VECTOR (10 DOWNTO 0);
signal cpu_instruction_master_readdata : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
signal cpu_instruction_master_waitrequest : OUT STD_LOGIC
);
attribute auto_dissolve : boolean;
attribute auto_dissolve of cpu_instruction_master_arbitrator : entity is FALSE;
end entity cpu_instruction_master_arbitrator;
architecture europa of cpu_instruction_master_arbitrator is
signal active_and_waiting_last_time : STD_LOGIC;
signal cpu_instruction_master_address_last_time : STD_LOGIC_VECTOR (10 DOWNTO 0);
signal cpu_instruction_master_read_last_time : STD_LOGIC;
signal cpu_instruction_master_run : STD_LOGIC;
signal dummy_sink : STD_LOGIC;
signal internal_cpu_instruction_master_address_to_slave : STD_LOGIC_VECTOR (10 DOWNTO 0);
signal internal_cpu_instruction_master_waitrequest : STD_LOGIC;
signal r_0 : STD_LOGIC;
begin
--r_0 master_run cascaded wait assignment, which is an e_assign
r_0 <= Vector_To_Std_Logic((((std_logic_vector'("00000000000000000000000000000001") AND (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR((((cpu_instruction_master_qualified_request_onchip_ram_s1 OR cpu_instruction_master_read_data_valid_onchip_ram_s1) OR NOT cpu_instruction_master_requests_onchip_ram_s1)))))) AND (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR(((cpu_instruction_master_granted_onchip_ram_s1 OR NOT cpu_instruction_master_qualified_request_onchip_ram_s1)))))) AND (std_logic_vector'("0000000000000000000000000000000") & (A_TOSTDLOGICVECTOR((((NOT cpu_instruction_master_qualified_request_onchip_ram_s1 OR NOT cpu_instruction_master_read) OR ((cpu_instruction_master_read_data_valid_onchip_ram_s1 AND cpu_instruction_master_read)))))))));
--cascaded wait assignment, which is an e_assign
cpu_instruction_master_run <= r_0;
--optimize select-logic by passing only those address bits which matter.
internal_cpu_instruction_master_address_to_slave <= cpu_instruction_master_address(10 DOWNTO 0);
--dummy sink, which is an e_mux
dummy_sink <= Vector_To_Std_Logic((((internal_cpu_instruction_master_address_to_slave OR (std_logic_vector'("0000000000") & (A_TOSTDLOGICVECTOR(cpu_instruction_master_requests_onchip_ram_s1)))) OR (std_logic_vector'("0000000000") & (A_TOSTDLOGICVECTOR(cpu_instruction_master_qualified_request_onchip_ram_s1)))) OR (std_logic_vector'("0000000000") & (A_TOSTDLOGICVECTOR(d1_onchip_ram_s1_end_xfer)))));
--cpu/instruction_master readdata mux, which is an e_mux
cpu_instruction_master_readdata <= onchip_ram_s1_readdata_from_sa;
--actual waitrequest port, which is an e_assign
internal_cpu_instruction_master_waitrequest <= NOT cpu_instruction_master_run;
--vhdl renameroo for output signals
cpu_instruction_master_address_to_slave <= internal_cpu_instruction_master_address_to_slave;
--vhdl renameroo for output signals
cpu_instruction_master_waitrequest <= internal_cpu_instruction_master_waitrequest;
--synthesis translate_off
--cpu_instruction_master_address check against wait, which is an e_register
process (clk, reset_n)
begin
if reset_n = '0' then
cpu_instruction_master_address_last_time <= std_logic_vector'("00000000000");
elsif clk'event and clk = '1' then
if (std_logic_vector'("00000000000000000000000000000001")) /= std_logic_vector'("00000000000000000000000000000000") then
cpu_instruction_master_address_last_time <= cpu_instruction_master_address;
end if;
end if;
end process;
--cpu/instruction_master waited last time, which is an e_register
process (clk, reset_n)
begin
if reset_n = '0' then
active_and_waiting_last_time <= std_logic'('0');
elsif clk'event and clk = '1' then
if (std_logic_vector'("00000000000000000000000000000001")) /= std_logic_vector'("00000000000000000000000000000000") then
active_and_waiting_last_time <= internal_cpu_instruction_master_waitrequest AND (cpu_instruction_master_read);
end if;
end if;
end process;
--cpu_instruction_master_address matches last port_name, which is an e_process
process (active_and_waiting_last_time, cpu_instruction_master_address, cpu_instruction_master_address_last_time)
VARIABLE write_line : line;
begin
if std_logic'((active_and_waiting_last_time AND to_std_logic(((cpu_instruction_master_address /= cpu_instruction_master_address_last_time))))) = '1' then
write(write_line, now);
write(write_line, string'(": "));
write(write_line, string'("cpu_instruction_master_address did not heed wait!!!"));
write(output, write_line.all);
deallocate (write_line);
assert false report "VHDL STOP" severity failure;
end if;
end process;
--cpu_instruction_master_read check against wait, which is an e_register
?? 快捷鍵說(shuō)明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號(hào)
Ctrl + =
減小字號(hào)
Ctrl + -