?? gh_nsincos_rom_12.vhd
字號:
---------------------------------------------------------------------
-- Filename: gh_nsincos_rom_12.vhd
--
-- Description:
-- - Sin Cos look up table 12 bit
--
-- Copyright (c) 2008 by George Huber
-- an OpenCores.org Project
-- free to use, but see documentation for conditions
--
-- Revision History:
-- Revision Date Author Comment
-- -------- ---------- --------- -----------
-- 1.0 10/26/08 h LeFevre Initial revision
--
------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity gh_nsincos_rom_12 is
port (
CLK : in std_logic;
ADD : in std_logic_vector(11 downto 0);
nsin : out std_logic_vector(11 downto 0);
cos : out std_logic_vector(11 downto 0)
);
end entity;
architecture a of gh_nsincos_rom_12 is
type rom_mem is array (0 to 4095) of std_logic_vector (11 downto 0);
constant isin : rom_mem :=(
x"000", x"ffd", x"ffa", x"ff7", x"ff3", x"ff0", x"fed", x"fea",
x"fe7", x"fe4", x"fe1", x"fdd", x"fda", x"fd7", x"fd4", x"fd1",
x"fce", x"fcb", x"fc7", x"fc4", x"fc1", x"fbe", x"fbb", x"fb8",
x"fb5", x"fb2", x"fae", x"fab", x"fa8", x"fa5", x"fa2", x"f9f",
x"f9c", x"f98", x"f95", x"f92", x"f8f", x"f8c", x"f89", x"f86",
x"f82", x"f7f", x"f7c", x"f79", x"f76", x"f73", x"f70", x"f6d",
x"f69", x"f66", x"f63", x"f60", x"f5d", x"f5a", x"f57", x"f54",
x"f50", x"f4d", x"f4a", x"f47", x"f44", x"f41", x"f3e", x"f3a",
x"f37", x"f34", x"f31", x"f2e", x"f2b", x"f28", x"f25", x"f21",
x"f1e", x"f1b", x"f18", x"f15", x"f12", x"f0f", x"f0c", x"f09",
x"f05", x"f02", x"eff", x"efc", x"ef9", x"ef6", x"ef3", x"ef0",
x"eed", x"ee9", x"ee6", x"ee3", x"ee0", x"edd", x"eda", x"ed7",
x"ed4", x"ed1", x"ecd", x"eca", x"ec7", x"ec4", x"ec1", x"ebe",
x"ebb", x"eb8", x"eb5", x"eb2", x"eae", x"eab", x"ea8", x"ea5",
x"ea2", x"e9f", x"e9c", x"e99", x"e96", x"e93", x"e8f", x"e8c",
x"e89", x"e86", x"e83", x"e80", x"e7d", x"e7a", x"e77", x"e74",
x"e71", x"e6e", x"e6a", x"e67", x"e64", x"e61", x"e5e", x"e5b",
x"e58", x"e55", x"e52", x"e4f", x"e4c", x"e49", x"e46", x"e43",
x"e3f", x"e3c", x"e39", x"e36", x"e33", x"e30", x"e2d", x"e2a",
x"e27", x"e24", x"e21", x"e1e", x"e1b", x"e18", x"e15", x"e12",
x"e0f", x"e0c", x"e09", x"e05", x"e02", x"dff", x"dfc", x"df9",
x"df6", x"df3", x"df0", x"ded", x"dea", x"de7", x"de4", x"de1",
x"dde", x"ddb", x"dd8", x"dd5", x"dd2", x"dcf", x"dcc", x"dc9",
x"dc6", x"dc3", x"dc0", x"dbd", x"dba", x"db7", x"db4", x"db1",
x"dae", x"dab", x"da8", x"da5", x"da2", x"d9f", x"d9c", x"d99",
x"d96", x"d93", x"d90", x"d8d", x"d8a", x"d87", x"d84", x"d81",
x"d7e", x"d7b", x"d78", x"d75", x"d72", x"d6f", x"d6c", x"d69",
x"d66", x"d63", x"d60", x"d5d", x"d5a", x"d57", x"d54", x"d51",
x"d4e", x"d4b", x"d48", x"d46", x"d43", x"d40", x"d3d", x"d3a",
x"d37", x"d34", x"d31", x"d2e", x"d2b", x"d28", x"d25", x"d22",
x"d1f", x"d1c", x"d19", x"d17", x"d14", x"d11", x"d0e", x"d0b",
x"d08", x"d05", x"d02", x"cff", x"cfc", x"cf9", x"cf6", x"cf4",
x"cf1", x"cee", x"ceb", x"ce8", x"ce5", x"ce2", x"cdf", x"cdc",
x"cd9", x"cd7", x"cd4", x"cd1", x"cce", x"ccb", x"cc8", x"cc5",
x"cc2", x"cc0", x"cbd", x"cba", x"cb7", x"cb4", x"cb1", x"cae",
x"cac", x"ca9", x"ca6", x"ca3", x"ca0", x"c9d", x"c9a", x"c98",
x"c95", x"c92", x"c8f", x"c8c", x"c89", x"c87", x"c84", x"c81",
x"c7e", x"c7b", x"c79", x"c76", x"c73", x"c70", x"c6d", x"c6a",
x"c68", x"c65", x"c62", x"c5f", x"c5c", x"c5a", x"c57", x"c54",
x"c51", x"c4e", x"c4c", x"c49", x"c46", x"c43", x"c41", x"c3e",
x"c3b", x"c38", x"c36", x"c33", x"c30", x"c2d", x"c2a", x"c28",
x"c25", x"c22", x"c1f", x"c1d", x"c1a", x"c17", x"c15", x"c12",
x"c0f", x"c0c", x"c0a", x"c07", x"c04", x"c01", x"bff", x"bfc",
x"bf9", x"bf7", x"bf4", x"bf1", x"bee", x"bec", x"be9", x"be6",
x"be4", x"be1", x"bde", x"bdc", x"bd9", x"bd6", x"bd4", x"bd1",
x"bce", x"bcb", x"bc9", x"bc6", x"bc3", x"bc1", x"bbe", x"bbc",
x"bb9", x"bb6", x"bb4", x"bb1", x"bae", x"bac", x"ba9", x"ba6",
x"ba4", x"ba1", x"b9e", x"b9c", x"b99", x"b97", x"b94", x"b91",
x"b8f", x"b8c", x"b8a", x"b87", x"b84", x"b82", x"b7f", x"b7d",
x"b7a", x"b77", x"b75", x"b72", x"b70", x"b6d", x"b6a", x"b68",
x"b65", x"b63", x"b60", x"b5e", x"b5b", x"b59", x"b56", x"b53",
x"b51", x"b4e", x"b4c", x"b49", x"b47", x"b44", x"b42", x"b3f",
x"b3d", x"b3a", x"b38", x"b35", x"b33", x"b30", x"b2e", x"b2b",
x"b29", x"b26", x"b24", x"b21", x"b1f", x"b1c", x"b1a", x"b17",
x"b15", x"b12", x"b10", x"b0d", x"b0b", x"b08", x"b06", x"b03",
x"b01", x"afe", x"afc", x"afa", x"af7", x"af5", x"af2", x"af0",
x"aed", x"aeb", x"ae9", x"ae6", x"ae4", x"ae1", x"adf", x"adc",
x"ada", x"ad8", x"ad5", x"ad3", x"ad0", x"ace", x"acc", x"ac9",
x"ac7", x"ac5", x"ac2", x"ac0", x"abd", x"abb", x"ab9", x"ab6",
x"ab4", x"ab2", x"aaf", x"aad", x"aab", x"aa8", x"aa6", x"aa4",
x"aa1", x"a9f", x"a9d", x"a9a", x"a98", x"a96", x"a93", x"a91",
x"a8f", x"a8d", x"a8a", x"a88", x"a86", x"a83", x"a81", x"a7f",
x"a7d", x"a7a", x"a78", x"a76", x"a73", x"a71", x"a6f", x"a6d",
x"a6a", x"a68", x"a66", x"a64", x"a61", x"a5f", x"a5d", x"a5b",
x"a59", x"a56", x"a54", x"a52", x"a50", x"a4d", x"a4b", x"a49",
x"a47", x"a45", x"a43", x"a40", x"a3e", x"a3c", x"a3a", x"a38",
x"a35", x"a33", x"a31", x"a2f", x"a2d", x"a2b", x"a29", x"a26",
x"a24", x"a22", x"a20", x"a1e", x"a1c", x"a1a", x"a17", x"a15",
x"a13", x"a11", x"a0f", x"a0d", x"a0b", x"a09", x"a07", x"a05",
x"a03", x"a00", x"9fe", x"9fc", x"9fa", x"9f8", x"9f6", x"9f4",
x"9f2", x"9f0", x"9ee", x"9ec", x"9ea", x"9e8", x"9e6", x"9e4",
x"9e2", x"9e0", x"9de", x"9dc", x"9da", x"9d8", x"9d6", x"9d4",
x"9d2", x"9d0", x"9ce", x"9cc", x"9ca", x"9c8", x"9c6", x"9c4",
x"9c2", x"9c0", x"9be", x"9bc", x"9ba", x"9b8", x"9b6", x"9b4",
x"9b2", x"9b0", x"9ae", x"9ac", x"9ab", x"9a9", x"9a7", x"9a5",
x"9a3", x"9a1", x"99f", x"99d", x"99b", x"999", x"998", x"996",
x"994", x"992", x"990", x"98e", x"98c", x"98b", x"989", x"987",
x"985", x"983", x"981", x"97f", x"97e", x"97c", x"97a", x"978",
x"976", x"975", x"973", x"971", x"96f", x"96d", x"96c", x"96a",
x"968", x"966", x"965", x"963", x"961", x"95f", x"95d", x"95c",
x"95a", x"958", x"957", x"955", x"953", x"951", x"950", x"94e",
x"94c", x"94a", x"949", x"947", x"945", x"944", x"942", x"940",
x"93f", x"93d", x"93b", x"93a", x"938", x"936", x"935", x"933",
x"931", x"930", x"92e", x"92c", x"92b", x"929", x"927", x"926",
x"924", x"923", x"921", x"91f", x"91e", x"91c", x"91b", x"919",
x"917", x"916", x"914", x"913", x"911", x"910", x"90e", x"90c",
x"90b", x"909", x"908", x"906", x"905", x"903", x"902", x"900",
x"8ff", x"8fd", x"8fc", x"8fa", x"8f9", x"8f7", x"8f6", x"8f4",
x"8f3", x"8f1", x"8f0", x"8ee", x"8ed", x"8eb", x"8ea", x"8e8",
x"8e7", x"8e6", x"8e4", x"8e3", x"8e1", x"8e0", x"8de", x"8dd",
x"8dc", x"8da", x"8d9", x"8d7", x"8d6", x"8d5", x"8d3", x"8d2",
x"8d0", x"8cf", x"8ce", x"8cc", x"8cb", x"8ca", x"8c8", x"8c7",
x"8c6", x"8c4", x"8c3", x"8c2", x"8c0", x"8bf", x"8be", x"8bc",
x"8bb", x"8ba", x"8b8", x"8b7", x"8b6", x"8b4", x"8b3", x"8b2",
x"8b1", x"8af", x"8ae", x"8ad", x"8ac", x"8aa", x"8a9", x"8a8",
x"8a7", x"8a5", x"8a4", x"8a3", x"8a2", x"8a0", x"89f", x"89e",
x"89d", x"89c", x"89a", x"899", x"898", x"897", x"896", x"895",
x"893", x"892", x"891", x"890", x"88f", x"88e", x"88c", x"88b",
x"88a", x"889", x"888", x"887", x"886", x"885", x"883", x"882",
x"881", x"880", x"87f", x"87e", x"87d", x"87c", x"87b", x"87a",
x"879", x"878", x"877", x"876", x"874", x"873", x"872", x"871",
x"870", x"86f", x"86e", x"86d", x"86c", x"86b", x"86a", x"869",
x"868", x"867", x"866", x"865", x"864", x"863", x"862", x"862",
x"861", x"860", x"85f", x"85e", x"85d", x"85c", x"85b", x"85a",
x"859", x"858", x"857", x"856", x"856", x"855", x"854", x"853",
x"852", x"851", x"850", x"84f", x"84f", x"84e", x"84d", x"84c",
x"84b", x"84a", x"849", x"849", x"848", x"847", x"846", x"845",
x"845", x"844", x"843", x"842", x"841", x"841", x"840", x"83f",
x"83e", x"83e", x"83d", x"83c", x"83b", x"83b", x"83a", x"839",
x"838", x"838", x"837", x"836", x"836", x"835", x"834", x"833",
x"833", x"832", x"831", x"831", x"830", x"82f", x"82f", x"82e",
x"82d", x"82d", x"82c", x"82b", x"82b", x"82a", x"82a", x"829",
x"828", x"828", x"827", x"827", x"826", x"825", x"825", x"824",
x"824", x"823", x"822", x"822", x"821", x"821", x"820", x"820",
x"81f", x"81f", x"81e", x"81e", x"81d", x"81d", x"81c", x"81b",
x"81b", x"81a", x"81a", x"81a", x"819", x"819", x"818", x"818",
x"817", x"817", x"816", x"816", x"815", x"815", x"814", x"814",
x"814", x"813", x"813", x"812", x"812", x"812", x"811", x"811",
x"810", x"810", x"810", x"80f", x"80f", x"80f", x"80e", x"80e",
x"80d", x"80d", x"80d", x"80c", x"80c", x"80c", x"80b", x"80b",
x"80b", x"80b", x"80a", x"80a", x"80a", x"809", x"809", x"809",
x"809", x"808", x"808", x"808", x"808", x"807", x"807", x"807",
x"807", x"806", x"806", x"806", x"806", x"805", x"805", x"805",
x"805", x"805", x"804", x"804", x"804", x"804", x"804", x"804",
x"803", x"803", x"803", x"803", x"803", x"803", x"803", x"803",
x"802", x"802", x"802", x"802", x"802", x"802", x"802", x"802",
x"802", x"802", x"801", x"801", x"801", x"801", x"801", x"801",
x"801", x"801", x"801", x"801", x"801", x"801", x"801", x"801",
x"801", x"801", x"801", x"801", x"801", x"801", x"801", x"801",
x"801", x"801", x"801", x"801", x"801", x"801", x"801", x"802",
x"802", x"802", x"802", x"802", x"802", x"802", x"802", x"802",
x"802", x"803", x"803", x"803", x"803", x"803", x"803", x"803",
x"803", x"804", x"804", x"804", x"804", x"804", x"804", x"805",
x"805", x"805", x"805", x"805", x"806", x"806", x"806", x"806",
x"807", x"807", x"807", x"807", x"808", x"808", x"808", x"808",
x"809", x"809", x"809", x"809", x"80a", x"80a", x"80a", x"80b",
x"80b", x"80b", x"80b", x"80c", x"80c", x"80c", x"80d", x"80d",
x"80d", x"80e", x"80e", x"80f", x"80f", x"80f", x"810", x"810",
x"810", x"811", x"811", x"812", x"812", x"812", x"813", x"813",
x"814", x"814", x"814", x"815", x"815", x"816", x"816", x"817",
x"817", x"818", x"818", x"819", x"819", x"81a", x"81a", x"81a",
x"81b", x"81b", x"81c", x"81d", x"81d", x"81e", x"81e", x"81f",
x"81f", x"820", x"820", x"821", x"821", x"822", x"822", x"823",
x"824", x"824", x"825", x"825", x"826", x"827", x"827", x"828",
x"828", x"829", x"82a", x"82a", x"82b", x"82b", x"82c", x"82d",
x"82d", x"82e", x"82f", x"82f", x"830", x"831", x"831", x"832",
x"833", x"833", x"834", x"835", x"836", x"836", x"837", x"838",
x"838", x"839", x"83a", x"83b", x"83b", x"83c", x"83d", x"83e",
x"83e", x"83f", x"840", x"841", x"841", x"842", x"843", x"844",
x"845", x"845", x"846", x"847", x"848", x"849", x"849", x"84a",
x"84b", x"84c", x"84d", x"84e", x"84f", x"84f", x"850", x"851",
x"852", x"853", x"854", x"855", x"856", x"856", x"857", x"858",
x"859", x"85a", x"85b", x"85c", x"85d", x"85e", x"85f", x"860",
x"861", x"862", x"862", x"863", x"864", x"865", x"866", x"867",
x"868", x"869", x"86a", x"86b", x"86c", x"86d", x"86e", x"86f",
x"870", x"871", x"872", x"873", x"874", x"876", x"877", x"878",
x"879", x"87a", x"87b", x"87c", x"87d", x"87e", x"87f", x"880",
x"881", x"882", x"883", x"885", x"886", x"887", x"888", x"889",
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -