?? gh_tvfd_coef_prom.vhd
字號:
---------------------------------------------------------------------
-- Filename: gh_tvfd_coef_prom.vhd
--
-- Description:
-- Coefficient prom for 8th order, 100 point TVFD filter
--
-- Copyright (c) 2005, 2006 by George Huber
-- an OpenCores.org Project
-- free to use, but see documentation for conditions
--
-- Revision History:
-- Revision Date Author Comment
-- -------- ---------- --------- -----------
-- 1.0 09/03/05 S A Dodd Initial revision
-- 2.0 09/17/05 h LeFevre add tvfd_ to name
-- 1.1 02/18/06 G Huber add gh_ to name
--
------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity gh_tvfd_coef_prom is
port (
CLK : in std_logic;
ADD : in std_logic_vector(9 downto 0);
Q : out std_logic_vector(15 downto 0)
);
end entity;
architecture a of gh_tvfd_coef_prom is
signal iADD : STD_LOGIC_VECTOR(11 DOWNTO 0);
signal iQ : STD_LOGIC_VECTOR(15 DOWNTO 0);
begin
PROCESS (CLK)
BEGIN
if (rising_edge(clk)) then
iADD <= "0" & "0" & ADD;
end if;
END PROCESS;
PROCESS (CLK)
BEGIN
if (rising_edge (clk)) then
Q <= iQ;
end if;
END PROCESS;
process(iADD)
begin
case (iADD) is
when x"000" => iQ <= x"0000";
when x"001" => iQ <= x"0000";
when x"002" => iQ <= x"0000";
when x"003" => iQ <= x"0000";
when x"004" => iQ <= x"7FFF";
when x"005" => iQ <= x"0000";
when x"006" => iQ <= x"0000";
when x"007" => iQ <= x"0000";
when x"008" => iQ <= x"FFFE";
when x"009" => iQ <= x"0016";
when x"00A" => iQ <= x"FF9D";
when x"00B" => iQ <= x"014A";
when x"00C" => iQ <= x"7FA9";
when x"00D" => iQ <= x"FF3E";
when x"00E" => iQ <= x"0021";
when x"00F" => iQ <= x"FFFD";
when x"010" => iQ <= x"FFFB";
when x"011" => iQ <= x"002C";
when x"012" => iQ <= x"FF3B";
when x"013" => iQ <= x"0299";
when x"014" => iQ <= x"7F49";
when x"015" => iQ <= x"FE81";
when x"016" => iQ <= x"0041";
when x"017" => iQ <= x"FFFA";
when x"018" => iQ <= x"FFF9";
when x"019" => iQ <= x"0042";
when x"01A" => iQ <= x"FED7";
when x"01B" => iQ <= x"03ED";
when x"01C" => iQ <= x"7EE1";
when x"01D" => iQ <= x"FDC8";
when x"01E" => iQ <= x"0060";
when x"01F" => iQ <= x"FFF7";
when x"020" => iQ <= x"FFF7";
when x"021" => iQ <= x"0057";
when x"022" => iQ <= x"FE74";
when x"023" => iQ <= x"0545";
when x"024" => iQ <= x"7E71";
when x"025" => iQ <= x"FD15";
when x"026" => iQ <= x"007F";
when x"027" => iQ <= x"FFF4";
when x"028" => iQ <= x"FFF4";
when x"029" => iQ <= x"006D";
when x"02A" => iQ <= x"FE10";
when x"02B" => iQ <= x"06A1";
when x"02C" => iQ <= x"7DF7";
when x"02D" => iQ <= x"FC67";
when x"02E" => iQ <= x"009D";
when x"02F" => iQ <= x"FFF1";
when x"030" => iQ <= x"FFF2";
when x"031" => iQ <= x"0083";
when x"032" => iQ <= x"FDAC";
when x"033" => iQ <= x"0802";
when x"034" => iQ <= x"7D76";
when x"035" => iQ <= x"FBBD";
when x"036" => iQ <= x"00BB";
when x"037" => iQ <= x"FFEE";
when x"038" => iQ <= x"FFF0";
when x"039" => iQ <= x"0099";
when x"03A" => iQ <= x"FD48";
when x"03B" => iQ <= x"0967";
when x"03C" => iQ <= x"7CEB";
when x"03D" => iQ <= x"FB19";
when x"03E" => iQ <= x"00D8";
when x"03F" => iQ <= x"FFEB";
when x"040" => iQ <= x"FFED";
when x"041" => iQ <= x"00AE";
when x"042" => iQ <= x"FCE4";
when x"043" => iQ <= x"0AD0";
when x"044" => iQ <= x"7C58";
when x"045" => iQ <= x"FA79";
when x"046" => iQ <= x"00F5";
when x"047" => iQ <= x"FFE8";
when x"048" => iQ <= x"FFEB";
when x"049" => iQ <= x"00C4";
when x"04A" => iQ <= x"FC80";
when x"04B" => iQ <= x"0C3D";
when x"04C" => iQ <= x"7BBD";
when x"04D" => iQ <= x"F9DF";
when x"04E" => iQ <= x"0111";
when x"04F" => iQ <= x"FFE6";
when x"050" => iQ <= x"FFE9";
when x"051" => iQ <= x"00D9";
when x"052" => iQ <= x"FC1D";
when x"053" => iQ <= x"0DAE";
when x"054" => iQ <= x"7B1A";
when x"055" => iQ <= x"F949";
when x"056" => iQ <= x"012C";
when x"057" => iQ <= x"FFE3";
when x"058" => iQ <= x"FFE7";
when x"059" => iQ <= x"00EF";
when x"05A" => iQ <= x"FBB9";
when x"05B" => iQ <= x"0F22";
when x"05C" => iQ <= x"7A6F";
when x"05D" => iQ <= x"F8B8";
when x"05E" => iQ <= x"0147";
when x"05F" => iQ <= x"FFE0";
when x"060" => iQ <= x"FFE4";
when x"061" => iQ <= x"0104";
when x"062" => iQ <= x"FB57";
when x"063" => iQ <= x"109A";
when x"064" => iQ <= x"79BC";
when x"065" => iQ <= x"F82D";
when x"066" => iQ <= x"0161";
when x"067" => iQ <= x"FFDE";
when x"068" => iQ <= x"FFE2";
when x"069" => iQ <= x"0119";
when x"06A" => iQ <= x"FAF4";
when x"06B" => iQ <= x"1215";
when x"06C" => iQ <= x"7900";
when x"06D" => iQ <= x"F7A6";
when x"06E" => iQ <= x"017A";
when x"06F" => iQ <= x"FFDB";
when x"070" => iQ <= x"FFE0";
when x"071" => iQ <= x"012D";
when x"072" => iQ <= x"FA92";
when x"073" => iQ <= x"1393";
when x"074" => iQ <= x"783D";
when x"075" => iQ <= x"F724";
when x"076" => iQ <= x"0193";
when x"077" => iQ <= x"FFD9";
when x"078" => iQ <= x"FFDE";
when x"079" => iQ <= x"0142";
when x"07A" => iQ <= x"FA30";
when x"07B" => iQ <= x"1514";
when x"07C" => iQ <= x"7773";
when x"07D" => iQ <= x"F6A7";
when x"07E" => iQ <= x"01AB";
when x"07F" => iQ <= x"FFD6";
when x"080" => iQ <= x"FFDC";
when x"081" => iQ <= x"0156";
when x"082" => iQ <= x"F9D0";
when x"083" => iQ <= x"1698";
when x"084" => iQ <= x"76A0";
when x"085" => iQ <= x"F62F";
when x"086" => iQ <= x"01C2";
when x"087" => iQ <= x"FFD4";
when x"088" => iQ <= x"FFDA";
when x"089" => iQ <= x"016A";
when x"08A" => iQ <= x"F96F";
when x"08B" => iQ <= x"181F";
when x"08C" => iQ <= x"75C6";
when x"08D" => iQ <= x"F5BC";
when x"08E" => iQ <= x"01D8";
when x"08F" => iQ <= x"FFD2";
when x"090" => iQ <= x"FFD8";
when x"091" => iQ <= x"017E";
when x"092" => iQ <= x"F910";
when x"093" => iQ <= x"19A9";
when x"094" => iQ <= x"74E5";
when x"095" => iQ <= x"F54D";
when x"096" => iQ <= x"01EE";
when x"097" => iQ <= x"FFD0";
when x"098" => iQ <= x"FFD6";
when x"099" => iQ <= x"0192";
when x"09A" => iQ <= x"F8B2";
when x"09B" => iQ <= x"1B35";
when x"09C" => iQ <= x"73FD";
when x"09D" => iQ <= x"F4E3";
when x"09E" => iQ <= x"0203";
when x"09F" => iQ <= x"FFCD";
when x"0A0" => iQ <= x"FFD4";
when x"0A1" => iQ <= x"01A5";
when x"0A2" => iQ <= x"F854";
when x"0A3" => iQ <= x"1CC3";
when x"0A4" => iQ <= x"730D";
when x"0A5" => iQ <= x"F47F";
when x"0A6" => iQ <= x"0218";
when x"0A7" => iQ <= x"FFCB";
when x"0A8" => iQ <= x"FFD2";
when x"0A9" => iQ <= x"01B8";
when x"0AA" => iQ <= x"F7F8";
when x"0AB" => iQ <= x"1E54";
when x"0AC" => iQ <= x"7217";
when x"0AD" => iQ <= x"F41F";
when x"0AE" => iQ <= x"022B";
when x"0AF" => iQ <= x"FFC9";
when x"0B0" => iQ <= x"FFD0";
when x"0B1" => iQ <= x"01CA";
when x"0B2" => iQ <= x"F79D";
when x"0B3" => iQ <= x"1FE6";
when x"0B4" => iQ <= x"7119";
when x"0B5" => iQ <= x"F3C3";
when x"0B6" => iQ <= x"023E";
when x"0B7" => iQ <= x"FFC7";
when x"0B8" => iQ <= x"FFCE";
when x"0B9" => iQ <= x"01DC";
when x"0BA" => iQ <= x"F743";
when x"0BB" => iQ <= x"217B";
when x"0BC" => iQ <= x"7015";
when x"0BD" => iQ <= x"F36D";
when x"0BE" => iQ <= x"0250";
when x"0BF" => iQ <= x"FFC6";
when x"0C0" => iQ <= x"FFCC";
when x"0C1" => iQ <= x"01EE";
when x"0C2" => iQ <= x"F6EA";
when x"0C3" => iQ <= x"2311";
when x"0C4" => iQ <= x"6F0A";
when x"0C5" => iQ <= x"F31B";
when x"0C6" => iQ <= x"0261";
when x"0C7" => iQ <= x"FFC4";
when x"0C8" => iQ <= x"FFCA";
when x"0C9" => iQ <= x"0200";
when x"0CA" => iQ <= x"F693";
when x"0CB" => iQ <= x"24A8";
when x"0CC" => iQ <= x"6DF8";
when x"0CD" => iQ <= x"F2CE";
when x"0CE" => iQ <= x"0272";
when x"0CF" => iQ <= x"FFC2";
when x"0D0" => iQ <= x"FFC9";
when x"0D1" => iQ <= x"0211";
when x"0D2" => iQ <= x"F63D";
when x"0D3" => iQ <= x"2641";
when x"0D4" => iQ <= x"6CE0";
when x"0D5" => iQ <= x"F285";
when x"0D6" => iQ <= x"0281";
when x"0D7" => iQ <= x"FFC0";
when x"0D8" => iQ <= x"FFC7";
when x"0D9" => iQ <= x"0222";
when x"0DA" => iQ <= x"F5E9";
when x"0DB" => iQ <= x"27DB";
when x"0DC" => iQ <= x"6BC2";
when x"0DD" => iQ <= x"F241";
when x"0DE" => iQ <= x"0290";
when x"0DF" => iQ <= x"FFBF";
when x"0E0" => iQ <= x"FFC5";
when x"0E1" => iQ <= x"0232";
when x"0E2" => iQ <= x"F596";
when x"0E3" => iQ <= x"2976";
when x"0E4" => iQ <= x"6A9E";
when x"0E5" => iQ <= x"F202";
when x"0E6" => iQ <= x"029E";
?? 快捷鍵說明
復制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -