亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

? 歡迎來到蟲蟲下載站! | ?? 資源下載 ?? 資源專輯 ?? 關于我們
? 蟲蟲下載站

?? ram_tb.vhd

?? This simple example allows you to get familiar with Active-HDL s Memory Viewer.
?? VHD
字號:
---------------------------------------------------------------------------------------------------
--
-- Title       : TestBench for ram
-- Design      : example
-- Author      : Aldec
-- Company     : Aldec
--
---------------------------------------------------------------------------------------------------
--
-- File        : $DSN\src\ram_tb.vhd
-- Generated   : 9/20/2004, 10:54 AM
-- From        : $DSN\src\ram.vhd
-- By          : Active-HDL Built-in Test Bench Generator ver. 1.2s
--
---------------------------------------------------------------------------------------------------
--
-- Description : TestBench for ram
--
---------------------------------------------------------------------------------------------------

library ieee;
use ieee.std_logic_1164.all;

	-- Add your library and packages declaration here ...

entity ram_tb is
end ram_tb;

architecture TB_ARCHITECTURE of ram_tb is
	-- Component declaration of the tested unit
	component ram
	port(
		WE : in std_logic;
		CLK : in std_logic;
		ADDRrd : in std_logic_vector(6 downto 0);
		ADDRwr : in std_logic_vector(6 downto 0);
		DATA : in std_logic_vector(7 downto 0);
		Q : out std_logic_vector(7 downto 0) );
	end component;

	-- Stimulus signals - signals mapped to the input and inout ports of tested entity
	signal WE : std_logic;
	signal CLK : std_logic;
	signal ADDRrd : std_logic_vector(6 downto 0);
	signal ADDRwr : std_logic_vector(6 downto 0);
	signal DATA : std_logic_vector(7 downto 0);
	-- Observed signals - signals mapped to the output ports of tested entity
	signal Q : std_logic_vector(7 downto 0);

	--Signal is used to stop clock signal generators
	signal END_SIM: BOOLEAN:=FALSE;

	-- Add your code here ...

begin

	-- Unit Under Test port map
	Memory : ram
		port map (
			WE => WE,
			CLK => CLK,
			ADDRrd => ADDRrd,
			ADDRwr => ADDRwr,
			DATA => DATA,
			Q => Q
		);

	--Below VHDL code is an inserted .\compile\ram.vhs
	--User can modify it ....

STIMULUS: process
begin  -- of stimulus process
--wait for <time to next event>; -- <current time>

	-----------------------
	-- INITIALIZE MEMORY --
	WE <= '1';
	ADDRrd <= "0000000";
	ADDRwr <= "0000000";
	DATA <= "00000000";
    wait for 10 ns; --0 fs
	ADDRwr <= "0000001";
	DATA <= "00000001";
    wait for 10 ns; --10 ns
	ADDRwr <= "0000010";
	DATA <= "00000010";
    wait for 10 ns; --20 ns
	ADDRwr <= "0000011";
	DATA <= "00000011";
    wait for 10 ns; --30 ns
	ADDRwr <= "0000100";
	DATA <= "00000100";
    wait for 10 ns; --40 ns
	ADDRwr <= "0000101";
	DATA <= "00000101";
    wait for 10 ns; --50 ns
	ADDRwr <= "0000110";
	DATA <= "00000110";
    wait for 10 ns; --60 ns
	ADDRwr <= "0000111";
	DATA <= "00000111";
    wait for 10 ns; --70 ns
	ADDRwr <= "0001000";
	DATA <= "00001000";
    wait for 10 ns; --80 ns
	ADDRwr <= "0001001";
	DATA <= "00001001";
    wait for 10 ns; --90 ns
	ADDRwr <= "0001010";
	DATA <= "00001010";
    wait for 10 ns; --100 ns
	ADDRwr <= "0001011";
	DATA <= "00001011";
    wait for 10 ns; --110 ns
	ADDRwr <= "0001100";
	DATA <= "00001100";
    wait for 10 ns; --120 ns
	ADDRwr <= "0001101";
	DATA <= "00001101";
    wait for 10 ns; --130 ns
	ADDRwr <= "0001110";
	DATA <= "00001110";
    wait for 10 ns; --140 ns
	ADDRwr <= "0001111";
	DATA <= "00001111";
    wait for 10 ns; --150 ns
	ADDRwr <= "0010000";
	DATA <= "00010000";
    wait for 10 ns; --160 ns
	ADDRwr <= "0010001";
	DATA <= "00010001";
    wait for 10 ns; --170 ns
	ADDRwr <= "0010010";
	DATA <= "00010010";
    wait for 10 ns; --180 ns
	ADDRwr <= "0010011";
	DATA <= "00010011";
    wait for 10 ns; --190 ns
	ADDRwr <= "0010100";
	DATA <= "00010100";
    wait for 10 ns; --200 ns
	ADDRwr <= "0010101";
	DATA <= "00010101";
    wait for 10 ns; --210 ns
	ADDRwr <= "0010110";
	DATA <= "00010110";
    wait for 10 ns; --220 ns
	ADDRwr <= "0010111";
	DATA <= "00010111";
    wait for 10 ns; --230 ns
	ADDRwr <= "0011000";
	DATA <= "00011000";
    wait for 10 ns; --240 ns
	ADDRwr <= "0011001";
	DATA <= "00011001";
    wait for 10 ns; --250 ns
	ADDRwr <= "0011010";
	DATA <= "00011010";
    wait for 10 ns; --260 ns
	ADDRwr <= "0011011";
	DATA <= "00011011";
    wait for 10 ns; --270 ns
	ADDRwr <= "0011100";
	DATA <= "00011100";
    wait for 10 ns; --280 ns
	ADDRwr <= "0011101";
	DATA <= "00011101";
    wait for 10 ns; --290 ns
	ADDRwr <= "0011110";
	DATA <= "00011110";
    wait for 10 ns; --300 ns
	ADDRwr <= "0011111";
	DATA <= "00011111";
    wait for 10 ns; --310 ns
	ADDRwr <= "0100000";
	DATA <= "00100000";
    wait for 10 ns; --320 ns
	ADDRwr <= "0100001";
	DATA <= "00100001";
    wait for 10 ns; --330 ns
	ADDRwr <= "0100010";
	DATA <= "00100010";
    wait for 10 ns; --340 ns
	ADDRwr <= "0100011";
	DATA <= "00100011";
    wait for 10 ns; --350 ns
	ADDRwr <= "0100100";
	DATA <= "00100100";
    wait for 10 ns; --360 ns
	ADDRwr <= "0100101";
	DATA <= "00100101";
    wait for 10 ns; --370 ns
	ADDRwr <= "0100110";
	DATA <= "00100110";
    wait for 10 ns; --380 ns
	ADDRwr <= "0100111";
	DATA <= "00100111";
    wait for 10 ns; --390 ns
	ADDRwr <= "0101000";
	DATA <= "00101000";
    wait for 10 ns; --400 ns
	ADDRwr <= "0101001";
	DATA <= "00101001";
    wait for 10 ns; --410 ns
	ADDRwr <= "0101010";
	DATA <= "00101010";
    wait for 10 ns; --420 ns
	ADDRwr <= "0101011";
	DATA <= "00101011";
    wait for 10 ns; --430 ns
	ADDRwr <= "0101100";
	DATA <= "00101100";
    wait for 10 ns; --440 ns
	ADDRwr <= "0101101";
	DATA <= "00101101";
    wait for 10 ns; --450 ns
	ADDRwr <= "0101110";
	DATA <= "00101110";
    wait for 10 ns; --460 ns
	ADDRwr <= "0101111";
	DATA <= "00101111";
    wait for 10 ns; --470 ns
	ADDRwr <= "0110000";
	DATA <= "00110000";
    wait for 10 ns; --480 ns
	ADDRwr <= "0110001";
	DATA <= "00110001";
    wait for 10 ns; --490 ns
	ADDRwr <= "0110010";
	DATA <= "00110010";
    wait for 10 ns; --500 ns
	ADDRwr <= "0110011";
	DATA <= "00110011";
    wait for 10 ns; --510 ns
	ADDRwr <= "0110100";
	DATA <= "00110100";
    wait for 10 ns; --520 ns
	ADDRwr <= "0110101";
	DATA <= "00110101";
    wait for 10 ns; --530 ns
	ADDRwr <= "0110110";
	DATA <= "00110110";
    wait for 10 ns; --540 ns
	ADDRwr <= "0110111";
	DATA <= "00110111";
    wait for 10 ns; --550 ns
	ADDRwr <= "0111000";
	DATA <= "00111000";
    wait for 10 ns; --560 ns
	ADDRwr <= "0111001";
	DATA <= "00111001";
    wait for 10 ns; --570 ns
	ADDRwr <= "0111010";
	DATA <= "00111010";
    wait for 10 ns; --580 ns
	ADDRwr <= "0111011";
	DATA <= "00111011";
    wait for 10 ns; --590 ns
	ADDRwr <= "0111100";
	DATA <= "00111100";
    wait for 10 ns; --600 ns
	ADDRwr <= "0111101";
	DATA <= "00111101";
    wait for 10 ns; --610 ns
	ADDRwr <= "0111110";
	DATA <= "00111110";
    wait for 10 ns; --620 ns
	ADDRwr <= "0111111";
	DATA <= "00111111";
    wait for 10 ns; --630 ns
	ADDRwr <= "1000000";
	DATA <= "01000000";
    wait for 10 ns; --640 ns
	ADDRwr <= "1000001";
	DATA <= "01000001";
    wait for 10 ns; --650 ns
	ADDRwr <= "1000010";
	DATA <= "01000010";
    wait for 10 ns; --660 ns
	ADDRwr <= "1000011";
	DATA <= "01000011";
    wait for 10 ns; --670 ns
	ADDRwr <= "1000100";
	DATA <= "01000100";
    wait for 10 ns; --680 ns
	ADDRwr <= "1000101";
	DATA <= "01000101";
    wait for 10 ns; --690 ns
	ADDRwr <= "1000110";
	DATA <= "01000110";
    wait for 10 ns; --700 ns
	ADDRwr <= "1000111";
	DATA <= "01000111";
    wait for 10 ns; --710 ns
	ADDRwr <= "1001000";
	DATA <= "01001000";
    wait for 10 ns; --720 ns
	ADDRwr <= "1001001";
	DATA <= "01001001";
    wait for 10 ns; --730 ns
	ADDRwr <= "1001010";
	DATA <= "01001010";
    wait for 10 ns; --740 ns
	ADDRwr <= "1001011";
	DATA <= "01001011";
    wait for 10 ns; --750 ns
	ADDRwr <= "1001100";
	DATA <= "01001100";
    wait for 10 ns; --760 ns
	ADDRwr <= "1001101";
	DATA <= "01001101";
    wait for 10 ns; --770 ns
	ADDRwr <= "1001110";
	DATA <= "01001110";
    wait for 10 ns; --780 ns
	ADDRwr <= "1001111";
	DATA <= "01001111";
    wait for 10 ns; --790 ns
	ADDRwr <= "1010000";
	DATA <= "01010000";
    wait for 10 ns; --800 ns
	ADDRwr <= "1010001";
	DATA <= "01010001";
    wait for 10 ns; --810 ns
	ADDRwr <= "1010010";
	DATA <= "01010010";
    wait for 10 ns; --820 ns
	ADDRwr <= "1010011";
	DATA <= "01010011";
    wait for 10 ns; --830 ns
	ADDRwr <= "1010100";
	DATA <= "01010100";
    wait for 10 ns; --840 ns
	ADDRwr <= "1010101";
	DATA <= "01010101";
    wait for 10 ns; --850 ns
	ADDRwr <= "1010110";
	DATA <= "01010110";
    wait for 10 ns; --860 ns
	ADDRwr <= "1010111";
	DATA <= "01010111";
    wait for 10 ns; --870 ns
	ADDRwr <= "1011000";
	DATA <= "01011000";
    wait for 10 ns; --880 ns
	ADDRwr <= "1011001";
	DATA <= "01011001";
    wait for 10 ns; --890 ns
	ADDRwr <= "1011010";
	DATA <= "01011010";
    wait for 10 ns; --900 ns
	ADDRwr <= "1011011";
	DATA <= "01011011";
    wait for 10 ns; --910 ns
	ADDRwr <= "1011100";
	DATA <= "01011100";
    wait for 10 ns; --920 ns
	ADDRwr <= "1011101";
	DATA <= "01011101";
    wait for 10 ns; --930 ns
	ADDRwr <= "1011110";
	DATA <= "01011110";
    wait for 10 ns; --940 ns
	ADDRwr <= "1011111";
	DATA <= "01011111";
    wait for 10 ns; --950 ns
	ADDRwr <= "1100000";
	DATA <= "01100000";
    wait for 10 ns; --960 ns
	ADDRwr <= "1100001";
	DATA <= "01100001";
    wait for 10 ns; --970 ns
	ADDRwr <= "1100010";
	DATA <= "01100010";
    wait for 10 ns; --980 ns
	ADDRwr <= "1100011";
	DATA <= "01100011";
    wait for 10 ns; --990 ns
	ADDRwr <= "1100100";
	DATA <= "01100100";
    wait for 10 ns; --1 us
	ADDRwr <= "1100101";
	DATA <= "01100101";
    wait for 10 ns; --1010 ns
	ADDRwr <= "1100110";
	DATA <= "01100110";
    wait for 10 ns; --1020 ns
	ADDRwr <= "1100111";
	DATA <= "01100111";
    wait for 10 ns; --1030 ns
	ADDRwr <= "1101000";
	DATA <= "01101000";
    wait for 10 ns; --1040 ns
	ADDRwr <= "1101001";
	DATA <= "01101001";
    wait for 10 ns; --1050 ns
	ADDRwr <= "1101010";
	DATA <= "01101010";
    wait for 10 ns; --1060 ns
	ADDRwr <= "1101011";
	DATA <= "01101011";
    wait for 10 ns; --1070 ns
	ADDRwr <= "1101100";
	DATA <= "01101100";
    wait for 10 ns; --1080 ns
	ADDRwr <= "1101101";
	DATA <= "01101101";
    wait for 10 ns; --1090 ns
	ADDRwr <= "1101110";
	DATA <= "01101110";
    wait for 10 ns; --1100 ns
	ADDRwr <= "1101111";
	DATA <= "01101111";
    wait for 10 ns; --1110 ns
	ADDRwr <= "1110000";
	DATA <= "01110000";
    wait for 10 ns; --1120 ns
	ADDRwr <= "1110001";
	DATA <= "01110001";
    wait for 10 ns; --1130 ns
	ADDRwr <= "1110010";
	DATA <= "01110010";
    wait for 10 ns; --1140 ns
	ADDRwr <= "1110011";
	DATA <= "01110011";
    wait for 10 ns; --1150 ns
	ADDRwr <= "1110100";
	DATA <= "01110100";
    wait for 10 ns; --1160 ns
	ADDRwr <= "1110101";
	DATA <= "01110101";
    wait for 10 ns; --1170 ns
	ADDRwr <= "1110110";
	DATA <= "01110110";
    wait for 10 ns; --1180 ns
	ADDRwr <= "1110111";
	DATA <= "01110111";
    wait for 10 ns; --1190 ns
	ADDRwr <= "1111000";
	DATA <= "01111000";
    wait for 10 ns; --1200 ns
	ADDRwr <= "1111001";
	DATA <= "01111001";
    wait for 10 ns; --1210 ns
	ADDRwr <= "1111010";
	DATA <= "01111010";
    wait for 10 ns; --1220 ns
	ADDRwr <= "1111011";
	DATA <= "01111011";
    wait for 10 ns; --1230 ns
	ADDRwr <= "1111100";
	DATA <= "01111100";
    wait for 10 ns; --1240 ns
	ADDRwr <= "1111101";
	DATA <= "01111101";
    wait for 10 ns; --1250 ns
	ADDRwr <= "1111110";
	DATA <= "01111110";
    wait for 10 ns; --1260 ns
	ADDRwr <= "1111111";
	DATA <= "01111111";
    wait for 10 ns; --1270 ns
	-------------------------
	-- END OF INITIALIZING --
	--       MEMORY        --
	-------------------
	-- START READING --
	DATA <= "ZZZZZZZZ";
	WE <= '0';	
	ADDRwr <= "0000000";
	ADDRrd <= "0000011";
	wait for 10 ns;	--1280 ns
	ADDRrd <= "0000111";
	wait for 10 ns;	--1290 ns
	--------------------
	-- WRITE AND READ --
	WE <= '1';
	ADDRwr <= "0001111";
	DATA <= "11111111";
	wait for 10 ns; --1300 ns
	wait for 10 ns; --1310 ns
	WE <= '0';
	DATA <= "ZZZZZZZZ";
	ADDRrd <= "0001111";
	------------------1320 ns
	wait for 10 ns; --1330 ns
	END_SIM <= TRUE;
--	end of stimulus events
	wait;
end process; -- end of stimulus process
	
CLOCK_CLK : process
begin
	if END_SIM = FALSE then
		CLK <= '0';
		wait for 5 ns; --0 fs
	else
		wait;
	end if;
	if END_SIM = FALSE then
		CLK <= '1';
		wait for 5 ns; --5 ns
	else
		wait;
	end if;
end process;

end TB_ARCHITECTURE;

configuration TESTBENCH_FOR_ram of ram_tb is
	for TB_ARCHITECTURE
		for Memory : ram
			use entity work.ram(ram_arch);
		end for;
	end for;
end TESTBENCH_FOR_ram;

?? 快捷鍵說明

復制代碼 Ctrl + C
搜索代碼 Ctrl + F
全屏模式 F11
切換主題 Ctrl + Shift + D
顯示快捷鍵 ?
增大字號 Ctrl + =
減小字號 Ctrl + -
亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频
国产精品亚洲一区二区三区在线 | 亚洲综合久久av| 国内不卡的二区三区中文字幕 | 欧美高清性hdvideosex| 秋霞影院一区二区| 欧美va亚洲va在线观看蝴蝶网| 欧美国产一区二区| 精品久久国产字幕高潮| 在线免费亚洲电影| 亚洲第一搞黄网站| 久久综合一区二区| 一本色道久久综合精品竹菊| 亚洲靠逼com| www国产精品av| 久久亚洲一区二区三区四区| 久久久久久影视| 在线观看网站黄不卡| 欧洲精品一区二区三区在线观看| 欧美图区在线视频| 国产99久久久久| 日韩电影在线免费看| 亚洲精品中文在线| 26uuu精品一区二区在线观看| 亚洲色图另类专区| 91免费视频观看| 视频一区二区国产| 国产精品电影院| 精品剧情在线观看| 中文字幕一区二区三区四区| 2017欧美狠狠色| 亚洲欧洲精品一区二区精品久久久| 一区二区三区在线免费播放| 国产精品久久久久aaaa樱花| 亚洲精品va在线观看| 日本不卡123| eeuss鲁片一区二区三区在线观看 eeuss鲁片一区二区三区在线看 | 91视频在线看| 懂色av噜噜一区二区三区av| 91污片在线观看| 在线播放欧美女士性生活| 精品国产一区二区三区久久久蜜月| 国产成人av电影在线播放| 91麻豆免费看| 久久嫩草精品久久久精品一| 亚洲动漫第一页| 一区二区三区四区在线播放 | 欧美三级电影网| 色综合久久99| 精品日本一线二线三线不卡| 综合激情成人伊人| 国产乱码字幕精品高清av| 欧美性大战久久久久久久蜜臀| 日韩一区二区三免费高清| 91精品国产一区二区三区| 国产午夜精品一区二区三区四区 | 91免费在线播放| 日韩一级视频免费观看在线| 亚洲欧洲99久久| 久久99精品国产| 激情伊人五月天久久综合| 91黄色激情网站| 欧美情侣在线播放| 日韩亚洲电影在线| 午夜视频久久久久久| 日韩电影免费一区| 在线视频观看一区| 久久精品日产第一区二区三区高清版 | 成人av电影在线| 一本在线高清不卡dvd| 亚洲国产高清不卡| 国产精品一品二品| 久久精品亚洲精品国产欧美 | 天天综合色天天综合色h| 色综合天天综合给合国产| 色欧美日韩亚洲| 《视频一区视频二区| 成人涩涩免费视频| 欧美日韩国产免费一区二区| 亚洲激情男女视频| 色悠久久久久综合欧美99| 亚洲欧洲av在线| 91亚洲精品一区二区乱码| 亚洲色图欧美偷拍| 在线观看日韩高清av| 亚洲国产精品欧美一二99| 9i看片成人免费高清| 综合激情网...| 欧美主播一区二区三区美女| 亚洲妇熟xx妇色黄| 日韩一区二区免费电影| 国产精品一区二区果冻传媒| 久久免费电影网| 成人午夜碰碰视频| 亚洲视频小说图片| 欧美日韩一区三区四区| 日本成人在线不卡视频| 精品国产一区二区三区四区四| 国产麻豆午夜三级精品| 一本一本大道香蕉久在线精品| 一区二区日韩电影| 日韩你懂的在线播放| 亚洲第一精品在线| www国产成人免费观看视频 深夜成人网| 久久99热这里只有精品| 在线播放日韩导航| 国产一区二区三区在线观看免费视频 | 中文字幕在线一区| 欧美日韩久久久| 精品一区二区三区久久| 日韩毛片精品高清免费| 欧美一区二区三区不卡| 国产福利不卡视频| 日韩一区二区三区精品视频| 国产东北露脸精品视频| 亚洲综合激情另类小说区| 欧美大片一区二区| 色综合一区二区三区| 免费黄网站欧美| 自拍偷拍亚洲欧美日韩| 日韩欧美亚洲一区二区| 91色乱码一区二区三区| 精品一区二区三区视频| 亚洲制服丝袜av| 国产亚洲一本大道中文在线| 欧美三级韩国三级日本一级| 成人黄页毛片网站| 美女网站一区二区| 日韩精品最新网址| 99精品视频一区| 亚洲一区日韩精品中文字幕| 久久精品综合网| 色噜噜狠狠色综合中国 | 一区二区三区视频在线看| 日韩亚洲欧美一区二区三区| 99精品视频在线播放观看| 免费在线欧美视频| 香蕉加勒比综合久久| 中文字幕一区二区三区四区不卡| 精品国产一区二区三区久久影院| 精品视频一区二区三区免费| 99久久国产综合精品麻豆| 国产传媒日韩欧美成人| 精品亚洲porn| 老司机精品视频导航| 日韩成人一区二区| 天天av天天翘天天综合网| 亚洲精品国产无套在线观| 国产精品电影一区二区| 亚洲激情图片一区| 亚洲国产精品人人做人人爽| 精品国产凹凸成av人导航| 欧美一区二区私人影院日本| 538在线一区二区精品国产| 亚洲色欲色欲www在线观看| 欧美激情在线一区二区| 久久久综合精品| 久久天堂av综合合色蜜桃网| 精品国产91乱码一区二区三区| 日韩午夜小视频| 精品乱码亚洲一区二区不卡| 日韩欧美精品三级| 日韩美女主播在线视频一区二区三区| 欧美日韩精品是欧美日韩精品| 在线区一区二视频| 欧美日本国产一区| 欧美一级高清片在线观看| 日韩三级免费观看| 久久久久久综合| 国产精品全国免费观看高清| 欧美一区二区三区免费在线看 | 精品一区二区免费看| 久久国产精品无码网站| 黑人精品欧美一区二区蜜桃| 国产乱码字幕精品高清av| 成人性视频网站| 色综合亚洲欧洲| 欧美理论电影在线| 日韩精品亚洲一区二区三区免费| 中文字幕日本不卡| 亚洲一级二级在线| 麻豆精品一区二区av白丝在线| 久久国产尿小便嘘嘘| 国产一区在线观看视频| 欧美一区二区久久| 久久免费电影网| 一区二区三区免费网站| 免费高清在线一区| 成人网男人的天堂| 777午夜精品免费视频| 国产人久久人人人人爽| 欧美欧美欧美欧美| 2020国产精品久久精品美国| 亚洲欧美另类小说| 中文字幕一区二区三区四区| 婷婷久久综合九色综合伊人色| 国产在线乱码一区二区三区| 色欧美88888久久久久久影院| 日韩亚洲欧美高清| 亚洲午夜一区二区三区| 国产九色精品成人porny|