?? edgedet.vhd
字號:
library ieee;
use ieee.std_logic_1164.all;
entity edgedet is
port(detected : out std_logic;
edge_in, clock, reset : in std_logic);
end edgedet;
architecture v1 of edgedet is
signal q0, q1 : std_logic;
begin
dff0 : entity work.dff(v1)
port map(q => q0, d => edge_in, clk => clock, reset => reset);
dff1 : entity work.dff(v1)
port map(q => q1, d => q0, clk => clock, reset => reset);
--changed due to reset problem
--was: detected <= q0 and not q1;
detected <= not q0 and q1;
end v1;
?? 快捷鍵說明
復(fù)制代碼
Ctrl + C
搜索代碼
Ctrl + F
全屏模式
F11
切換主題
Ctrl + Shift + D
顯示快捷鍵
?
增大字號
Ctrl + =
減小字號
Ctrl + -