Motoko it s a 2D library to handle the graphical user interface of the game. It supports the basic controls of the windows GUI look like: PictureBox, TextBox, ListBox, LabelBox, ControlListBox, ComboBox, Button, CheckButton, Dialog, Panel, HScrollBar and VScrollBar. It uses the library CRM32Pro, so the CRM32Pro devkit will be needed to develop any application with Motoko, and it s contained in the Motoko devkit. The Motoko library is under the LGPL license, so read first the license if you want to make any change to the library.
標簽: the graphical interface supports
上傳時間: 2013-12-20
上傳用戶:yoleeson
flash 鍵盤音效取自win2000系統ding.wav,經過CoolEdit處理成音階,在Flash中導入在相應按鈕上。 沒有難度,就是耐心一點,成績不錯哦! 對應表: 低音G-a #G-w A-s #A-e B-d 中音C-f #C-t D-g #D-y E-h F-j #F-i G-k #G-o A-l #A-p B- 高音C-1 D-2 E-3 F-4 G-5 A-6 B-7 C(high)-8 #C-c #D-v #F-b #G-n #A-m
上傳時間: 2014-02-06
上傳用戶:ljmwh2000
This program is about data organization using Visual C++ tools.It is able to control the other s computers in the computer of oneself by running this program.
標簽: organization program control Visual
上傳時間: 2014-01-25
上傳用戶:ayfeixiao
Number of Namespaces in the project: 1 Number of Classes in the project: 6 Number of C# function(s) in the project: 2 Number of C# subroutine(s) in the project: 15 Number of VB.NET function(s) in the project: 1 Number of VB.NET subroutine(s) in the project: 10 Number of Application variable(s) used in the project: 3 Number of Session variable(s) used in the project: 1 Number of Distinct Email Addresses(s) found in the project: 4 Generation of documentation took 0 minute 18 seconds
標簽: Number project Namespaces the
上傳時間: 2013-12-09
上傳用戶:許小華
How to control the time s equence of LM3033B- 0BR3 LCD module by C51 programming was dis cus s ed in this paper. In this way the LCD module was driven by parallel communication and the characters and graphics could be were narrated in detail.
標簽: programming control equence module
上傳時間: 2017-05-29
上傳用戶:熊少鋒
將魔王的語言抽象為人類的語言:魔王語言由以下兩種規則由人的語言逐步抽象上去的:α-〉β1β2β3…βm ;θδ1δ2…-〉θδnθδn-1…θδ1 設大寫字母表示魔王的語言,小寫字母表示人的語言B-〉tAdA,A-〉sae,eg:B(ehnxgz)B解釋為tsaedsaeezegexenehetsaedsae對應的話是:“天上一只鵝地上一只鵝鵝追鵝趕鵝下鵝蛋鵝恨鵝天上一只鵝地上一只鵝”。(t-天d-地s-上a-一只e-鵝z-追g-趕x-下n-蛋h-恨)
上傳時間: 2013-12-19
上傳用戶:aix008
This tutorial presents an introduction to Altera’s Nios R II processor, which is a soft processor that can be in- stantiated on an Altera FPGA device. It describes the basic architecture of Nios II and its instruction set. The NiosII processor and its associated memory and peripheral components are easily instantiated by using Altera’s SOPCBuilder in conjuction with the Quartus R II software.
標簽: processor introduction tutorial presents
上傳時間: 2014-12-08
上傳用戶:星仔
本論文研究了開源路由器的實現方法,通過具體的實驗在X O R P 上實現了R I P , O S P F , B G P 等一系列協議,在P A C K E T T R A C E R 上進行了仿真,并對開源路由器進行了性能評價。
標簽: 開源路由器
上傳時間: 2015-02-21
上傳用戶:13666909595
本論文研究了開源路由器的實現方法,通過具體的實驗在X O R P 上實現了R I P , O S P F , B G P 等一系列協議,在P A C K E T T R A C E R 上進行了仿真,并對開源路由器進行了性能評價。
標簽: 開源路由器
上傳時間: 2015-02-21
上傳用戶:13666909595
VHDL編寫的4選一數據選擇器 entity mux41a is port(a,b:in std_logic; s1,s2,s3,s4:in std_logic; y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0);
上傳時間: 2020-05-15
上傳用戶:cdga