亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

蟲蟲首頁| 資源下載| 資源專輯| 精品軟件
登錄| 注冊

ab-bc

  • VB精選版

    Visual Basic(簡稱VB)是Microsoft公司開發的一種通用的基于對象的程序設計語言,為結構化的、模塊化的、面向對象的、包含協助開發環境的事件驅動為機制的可視化程序設計語言。是一種可用于微軟自家產品開發的語言。 [1]  “Visual” 指的是開發圖形用戶界面 (GUI) 的方法——不需編寫大量代碼去描述界面元素的外觀和位置,而只要把預先建立的對象add到屏幕上的一點即可。 “Basic”指的是 BASIC (Beginners All-Purpose Symbolic Instruction Code) 語言,是一種在計算技術發展歷史上應用得最為廣泛的語言。

    標簽: VB精選

    上傳時間: 2019-03-06

    上傳用戶:Yingshangling

  • 集成電路原理

    集成電路(integrated circuit)是一種微型電子器件或部件。采用一定的工藝,把一個電路中所需的晶體管、電阻、電容和電感等元件及布線互連一起,制作在一小塊或幾小塊半導體晶片或介質基片上,然后封裝在一個管殼內,成為具有所需電路功能的微型結構。

    標簽: 集成 電路原理

    上傳時間: 2019-06-14

    上傳用戶:ljianboo

  • VHDL4選1數據選擇器

    VHDL編寫的4選一數據選擇器 entity mux41a is        port(a,b:in std_logic;                s1,s2,s3,s4:in std_logic;                y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0);

    標簽: VHDL 數據選擇器

    上傳時間: 2020-05-15

    上傳用戶:cdga

  • Rslogix5000編程-快速入門

    主要講解AB的Rslogix5000編程,圖拍解說哦,很清晰。

    標簽: Rslogix 5000 編程 快速入門

    上傳時間: 2020-10-21

    上傳用戶:

  • tda7294

    TDA7294是意法微電子(SGS-THOMSON Microelectronics)在上世紀九十年代推出的AB類單片式音頻功放集成電路,一掃以往線性集成功放和厚膜集成功放生、冷、硬的音色,廣泛應用在HiFi領域,如家庭影院、有源音箱、高性能電視機等領域。

    標簽: 7294 tda

    上傳時間: 2021-06-26

    上傳用戶:xiangshuai

  • pc817

    PC817光電耦合器廣泛用在電腦終端機,可控硅系統設備,測量儀器,影印機,自動售票,家用電器,如風扇,加熱器等電路之間的信號傳輸,使之前端與負載完全隔離,目的在于增加安全性,減小電路干擾,簡化電路設計。

    標簽: 817 pc

    上傳時間: 2021-07-01

    上傳用戶:xiangshuai

  • 高精度低溫漂CMOS基準源的設計與比較-76頁

    高精度低溫漂CMOS基準源的設計與比較-76頁

    標簽: cmos

    上傳時間: 2021-10-17

    上傳用戶:

  • FPGA讀寫SD卡讀取BMP圖片通過LCD顯示例程實驗 Verilog邏輯源碼Quartus工程文件

    FPGA讀寫SD卡讀取BMP圖片通過LCD顯示例程實驗 Verilog邏輯源碼Quartus工程文件+文檔說明,FPGA型號Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。1 實驗簡介在前面的實驗中我們練習了 SD 卡讀寫,VGA 視頻顯示等例程,本實驗將 SD 卡里的 BMP 圖片讀出,寫入到外部存儲器,再通過 VGA、LCD 等顯示。本實驗如果通過液晶屏顯示,需要有液晶屏模塊。2 實驗原理在前面的實驗中我們在 VGA、LCD 上顯示的是彩條,是 FPGA 內部產生的數據,本實驗將彩條替換為 SD 內的 BMP 圖片數據,但是 SD 卡讀取速度遠遠不能滿足顯示速度的要求,只能先寫入外部高速 RAM,再讀出后給視頻時序模塊顯示module top( input                       clk, input                       rst_n, input                       key1, output [5:0]                seg_sel, output [7:0]                seg_data, output                      vga_out_hs,        //vga horizontal synchronization output                      vga_out_vs,        //vga vertical synchronization output[4:0]                 vga_out_r,         //vga red output[5:0]                 vga_out_g,         //vga green output[4:0]                 vga_out_b,         //vga blue output                      sd_ncs,            //SD card chip select (SPI mode) output                      sd_dclk,           //SD card clock output                      sd_mosi,           //SD card controller data output input                       sd_miso,           //SD card controller data input output                      sdram_clk,         //sdram clock output                      sdram_cke,         //sdram clock enable output                      sdram_cs_n,        //sdram chip select output                      sdram_we_n,        //sdram write enable output                      sdram_cas_n,       //sdram column address strobe output                      sdram_ras_n,       //sdram row address strobe output[1:0]                 sdram_dqm,         //sdram data enable output[1:0]                 sdram_ba,          //sdram bank address output[12:0]                sdram_addr,        //sdram address inout[15:0]                 sdram_dq           //sdram data);parameter MEM_DATA_BITS         = 16  ;            //external memory user interface data widthparameter ADDR_BITS             = 24  

    標簽: fpga

    上傳時間: 2021-10-27

    上傳用戶:

  • NXP K66系列單片機開發板軟件例程IAR8.2版本工程源碼30個合集

    NXP K66系列單片機開發板軟件例程IAR8.2版本工程源碼30個合集

    標簽: nxp k66 單片機 開發板

    上傳時間: 2021-11-02

    上傳用戶:

  • type-C16pin 3D封裝

    type-C16pin 3D封裝 支持AD

    標簽: type-c 封裝

    上傳時間: 2022-01-10

    上傳用戶:

主站蜘蛛池模板: 温州市| 清涧县| 铜山县| 遂溪县| 天全县| 平利县| 朝阳市| 定南县| 陇南市| 浮山县| 九寨沟县| 亚东县| 佛教| 定西市| 清原| 都安| 绥宁县| 渝中区| 南昌市| 关岭| 唐山市| 渑池县| 饶阳县| 兰考县| 宜丰县| 阳山县| 获嘉县| 鹤岗市| 星子县| 舒城县| 曲周县| 新乡市| 深泽县| 衢州市| 临沧市| 惠水县| 视频| 临猗县| 玛多县| 山阳县| 大埔县|