一.產品描述 提供8個觸摸感應按鍵,二進制(BCD)編碼輸出,具有一個按鍵承認輸出的顯示,按鍵後的資料會維持到下次按鍵,可先判斷按鍵承認的狀態(tài)。提供低功耗模式,可使用於電池應用的產品。對於防水和抗干擾方面有很優(yōu)異的表現(xiàn)! 二.產品特色 1.工作電壓範圍:3.1V – 5.5V 2. 工作電流: 3mA (正常模式);15 uA (休眠模式) @5V 3. 8 個觸摸感應按鍵 4.持續(xù)無按鍵 4 秒,進入休眠模式 5. 提供二進制(BCD)編碼直接輸出介面(上電 D2~D0/111) 6. 按鍵後離開,輸出狀態(tài)會維持到下次按鍵才會改變。 7. 提供按鍵承認有效輸出,當有按鍵時輸出低電平,無按鍵為高電平。 8. 可以經由調整 CAP 腳的外接電容,調整靈敏度,電容越大靈敏度越高 9. 具有防水及水漫成片水珠覆蓋在觸摸按鍵面板,按鍵仍可有效判別 10. 內建 LDO 增加電源的抗干擾能力 三.產品應用 各種大小家電,娛樂產品 四.功能描述 1.VK3708BM 於手指按壓觸摸盤,在 60ms 內輸出對應按鍵的狀態(tài)。 2.單鍵優(yōu)先判斷輸出方式處理, 如果 K1 已經承認了, 需要等 K1 放開後, 其他按鍵才能再被承認,同時間只有一個按鍵狀態(tài)會被輸出。 3.具有防呆措施, 若是按鍵有效輸出連續(xù)超過 10 秒, 就會做復位。 4.環(huán)境調適功能,可隨環(huán)境的溫濕度變化調整參考值,確保按鍵判斷工作正常。 5.可分辨水與手指的差異,對水漫與水珠覆蓋按鍵觸摸盤,仍可正確判斷按鍵動作。但水不可於按鍵觸摸盤上形成“水柱”,若如此則如同手按鍵一般,會有按鍵承認輸出。 6.內建 LDO 及抗電源雜訊的處理程序,對電源漣波的干擾有很好的耐受能力。 7.不使用的按鍵請接地,避免太過靈敏而產生誤動。 聯(lián)系人:許碩 QQ:191 888 5898 聯(lián)系電話:188 9858 2398(微信)
標簽: KEYS 3708 SOP 16 BM VK 抗干擾 防水 省電
上傳時間: 2019-08-08
上傳用戶:szqxw1688
PCIe規(guī)范各版本合集,包括PCI_Express_Base 1.0a、2.0、2.1、3.0、4.0、5.0 六個版本
標簽: pcie
上傳時間: 2021-10-24
上傳用戶:
L6203直流電機驅動板模塊ALTIUM設計硬件原理圖+PCB文件,2層板設計,大小為66x33mm,Altium Designer 設計的工程文件,包括原理圖及PCB文件,可以用Altium(AD)軟件打開或修改,可作為你的產品設計的參考。
上傳時間: 2021-11-17
上傳用戶:
FPGA片內FIFO讀寫測試Verilog邏輯源碼Quartus工程文件+文檔說明,使用 FPGA 內部的 FIFO 以及程序對該 FIFO 的數(shù)據(jù)讀寫操作。FPGA型號Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////module fifo_test( input clk, //50MHz時鐘 input rst_n //復位信號,低電平有效 );//-----------------------------------------------------------localparam W_IDLE = 1;localparam W_FIFO = 2; localparam R_IDLE = 1;localparam R_FIFO = 2; reg[2:0] write_state;reg[2:0] next_write_state;reg[2:0] read_state;reg[2:0] next_read_state;reg[15:0] w_data; //FIFO寫數(shù)據(jù)wire wr_en; //FIFO寫使能wire rd_en; //FIFO讀使能wire[15:0] r_data; //FIFO讀數(shù)據(jù)wire full; //FIFO滿信號 wire empty; //FIFO空信號 wire[8:0] rd_data_count; wire[8:0] wr_data_count; ///產生FIFO寫入的數(shù)據(jù)always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) write_state <= W_IDLE; else write_state <= next_write_state;endalways@(*)begin case(write_state) W_IDLE: if(empty == 1'b1) //FIFO空, 開始寫FIFO next_write_state <= W_FIFO; else next_write_state <= W_IDLE; W_FIFO: if(full == 1'b1) //FIFO滿 next_write_state <= W_IDLE; else next_write_state <= W_FIFO; default: next_write_state <= W_IDLE; endcaseendassign wr_en = (next_write_state == W_FIFO) ? 1'b1 : 1'b0; always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) w_data <= 16'D0; else if (wr_en == 1'b1) w_data <= w_data + 1'b1; else w_data <= 16'D0; end///產生FIFO讀的數(shù)據(jù)always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) read_state <= R_IDLE; else read_state <= next_read_state;endalways@(*)begin case(read_state) R_IDLE: if(full == 1'b1) //FIFO滿, 開始讀FIFO next_read_state <= R_FIFO; else next_read_state <= R_IDLE; R_FIFO: if(empty == 1'b1)
上傳時間: 2021-12-19
上傳用戶:20125101110
verilog實現(xiàn)I2C通信的slave模塊源碼狀態(tài)機設位計可做I2C接口的仿真模型//`timescale 1ns/1psmodule I2C_slv (input [6:0] slv_id,input RESET,input scl_i, //I2C clkinput sda_i, //I2C data ininput [7:0] I2C_RDDATA,////////////////////////output reg sda_o, //I2C data outoutput reg reg_w, //reg write enable pulse (1T of scl_i)output reg [7:0] I2C_ADDR,output reg [7:0] I2C_DATA); parameter ST_ADDR = 4'D0; parameter ST_ACK = 4'd1; parameter ST_WDATA1 = 4'd2; parameter ST_WACK1 = 4'd3; parameter ST_WDATA2 = 4'd4; parameter ST_WACK2 = 4'd5; parameter ST_WDATA3 = 4'd6; parameter ST_WACK3 = 4'd7; parameter ST_RDATA1 = 4'd8; parameter ST_RACK1 = 4'd9; parameter ST_IDLE = 4'd15;//---------------------------------------------------------------------------// Signal Declaration//--------------------------------------------------------------------------- reg i2c_start_n, i2c_stop_n; //wire RESET_scl; wire i2c_stp_n, i2c_RESET; reg [3:0] i2c_cs, i2c_ns; reg [3:0] cnt_bit; reg [7:0] d_vec; reg i2c_rd, i2c_ack; reg [7:0] I2C_RDDATA_latch;
上傳時間: 2022-02-03
上傳用戶:
鏈塔智庫_華為區(qū)塊鏈白皮書深度解讀
上傳時間: 2022-03-11
上傳用戶:trh505
ADS1256 是TI(Texas I nstruments )公司推出的一款低噪聲高分辨率的24 位Si gma - Delta("- #)模數(shù)轉換器(ADC)。"- #ADC 與傳統(tǒng)的逐次逼近型和積分型ADC 相比有轉換誤差小而價格低廉的優(yōu)點,但由于受帶寬和有效采樣率的限制,"- #ADC 不適用于高頻數(shù)據(jù)采集的場合。該款ADS1256 可適合于采集最高頻率只有幾千赫茲的模擬數(shù)據(jù)的系統(tǒng)中,數(shù)據(jù)輸出速率最高可為30K 采樣點/秒(SPS),有完善的自校正和系統(tǒng)校正系統(tǒng), SPI 串行數(shù)據(jù)傳輸接口。本文結合筆者自己的應用經驗,對該ADC 的基本原理以及應用做簡要介紹。ADs1256 的總體電氣特性下面介紹在使用ADs1256 的過程中要注意的一些電氣方面的具體參數(shù):模擬電源(AVDD )輸入范圍+ 4 . 75V !+ 5 .25V,使用的典型值為+ 5 .00V;數(shù)字電源(DVDD )輸入范圍+ 1 . 8V !+ 3 .6V,使用的典型值+ 3 .3V;參考電壓值(VREF= VREFP- VREFN)的范圍+ 0 .5V!+ 2 .6V,使用的典型值為+ 2 .5V;耗散功率最大為57mW;每個模擬輸入端(AI N0 !7 和AI NC M)相對于模擬地(AGND)的絕對電壓值范圍在輸入緩沖器(BUFFER)關閉的時候為AGND-0 .1 !AVDD+ 0 . 1 ,在輸入緩沖器打開的時候為AGND !AVDD-2 .0 ;滿刻度差分模擬輸入電壓值(VI N = AI NP -AI NN)為+ /-(2VREF/PGA);數(shù)字輸入邏輯高電平范圍0 .8DVDD!5 .25V(除D0 !D3 的輸入點平不可超過DVDD 外),邏輯低點平范圍DGND!0 .2DVDD;數(shù)字輸出邏輯高電平下限為0 .8DVDD,邏輯低電平上限為0 .2DVDD,輸出電流典型值為5mA;主時鐘頻率由外部晶體振蕩器提供給XTAL1和XTAL2 時,要求范圍為2 M!10 MHz ,僅由CLKI N 輸入提供時,范圍為0 .1 M!10 MHz 。
上傳時間: 2022-06-10
上傳用戶:
1553b的編解碼源程序 和仿真程序
上傳時間: 2022-06-25
上傳用戶:
LDPC碼的FPGA實現(xiàn) LDPC碼的FPGA實現(xiàn)
上傳時間: 2022-06-27
上傳用戶:
VIP專區(qū)-嵌入式/單片機編程源碼精選合集系列(76)資源包含以下內容:1. stc12c的AD處理.2. 這是keil公司的mcm2300開發(fā)板上的.3. 一些關于SOPC,NIOS設計的論文,可以作畢業(yè)設計參考.4. 遠程數(shù)據(jù)訪問RDA等.5. 基于lpc2148的按鍵掃描程序.6. spce061a實現(xiàn)的鍵盤掃描程序.7. SPCE061A實現(xiàn)的LED拉幕顯示效果.8. SPCE061A中位操作頭文件.9. SPCE061A+SPCL051液晶顯示漢字程序.10. keil.11. 采用LPC935控制的一個項目.12. 采用MPS430控制的項目.13. mm36sb020的spi的接口,已經調試過的 /* 定義命令 *//* #define ERSC 0x90f6 // 檫除整個芯片 // #define SRC 0xfffffffe //.14. LCD6963模塊的驅動,可以顯示漢字和圖形. 線路圖 89C51 T6963C -------- | 8 P1.0-1.7|=========== D0-7 | P3.0|--.15. ATmega16上面的ADC測試.16. 小液晶1602驅動程序.17. 基於C51的智能毫秒計, 精準到1ms, 含原理圖.18. NXP2103開發(fā)版圖.19. 軟件紅外線接收程序 采用24MHz晶振.20. 串行口通信程序.21. 投票系統(tǒng).22. 一擔挑游戲.23. 組數(shù)游戲.24. 歌星大獎賽.25. VHDL mif file generator, which can generate several waves.26. uboot.27. 該程序是一個tffs文件系統(tǒng)的源碼.28. 這是一個測試液晶顯示的程序,測試LCD的亮滅.硬件連接如下: 3腳接偏置電阻,接個10K的可調,.RS4,RW5,E6腳接P3^3,P3^2,P3^1,程序頭部有定義可根據(jù)實際修改.29. uart5/atmega8515/led 時鐘顯示.30. 逆變器原理圖.31. 基于LPC2210的FFT程序.32. 這是我讀研的時候用VB開發(fā)的一個與基于CAN-PCI5121的通訊小程序.33. 這是基于CAN-PCI5121開發(fā)的通訊程序.34. 將每一個聲源加到混音緩沖器,經過處理后返回.35. 處理聲源,時間,做好各類資源的調整工作,為聲音的輸入輸出做準備..36. NiosII培訓資料,主要講述如何操作NIOS,對初學者幫助較大..37. 當前流行的802.15.4ZIGBEE協(xié)議的應用開發(fā)流程.38. Zigbee應用開發(fā)介紹說明.39. 完整的空調控制程序.40. VMMforSystemVerilog的源碼資料 學習SystemVerilog的好東西.
上傳時間: 2013-05-19
上傳用戶:eeworm