網(wǎng)絡(luò)正在成為當(dāng)今社會(huì)通用通信的骨干力量,現(xiàn)代化的設(shè)備迫切需要解決如何簡(jiǎn)潔高速的接入問(wèn)題。涉及了基于FPGA 的嵌入式技術(shù)。簡(jiǎn)要介紹了使用xilinx 的EDK 和ISE 等工具的設(shè)計(jì)流程和設(shè)計(jì)實(shí)現(xiàn)支持TCP/ IP 協(xié)議的10M/ 100M/ 1000M以太網(wǎng)SOPC 系統(tǒng)的工程實(shí)例,并對(duì)涉及的關(guān)鍵技術(shù)進(jìn)行了說(shuō)明,列出了實(shí)物系統(tǒng)的指標(biāo)測(cè)試結(jié)果。關(guān)鍵詞 FPGA;EDK;SOPC;嵌入式開(kāi)發(fā);EMAC;PowePc
標(biāo)簽: SOPC 千兆以太網(wǎng)
上傳時(shí)間: 2013-11-16
上傳用戶:66666
設(shè)計(jì)了一種片上系統(tǒng)(SoC)復(fù)位電路。該電路能對(duì)外部輸入信號(hào)進(jìn)行同步化處理以抑制亞穩(wěn)態(tài),采用多級(jí)D觸發(fā)器進(jìn)行濾波提升抗干擾能力,并且控制產(chǎn)生系統(tǒng)所需的復(fù)位時(shí)序以滿足軟硬件協(xié)同設(shè)計(jì)需求。同時(shí),完成了可測(cè)性設(shè)計(jì)(DFT)。基于xilinx spartan-6 FPGA進(jìn)行了驗(yàn)證。結(jié)果表明該電路可以抑制90 ?滋s以下的外部干擾信號(hào),并能正確產(chǎn)生系統(tǒng)所需的復(fù)位信號(hào)。
標(biāo)簽: 片上系統(tǒng) 復(fù)位電路
上傳時(shí)間: 2014-12-29
上傳用戶:guojin_0704
隨著FPGA技術(shù)的發(fā)展,F(xiàn)PGA設(shè)計(jì)已不再只是硬件電路的設(shè)計(jì),而是包含處理器、外圍組件和接口邏輯在內(nèi)的完整數(shù)字系統(tǒng),同時(shí)在處理器中編程完成嵌入式代碼的FPGA“軟”設(shè)計(jì)。與傳統(tǒng)的主要基于硬件描述語(yǔ)言進(jìn)行FPGA設(shè)計(jì)開(kāi)發(fā)不同,本文在電路設(shè)計(jì)軟件Altium Designer開(kāi)發(fā)環(huán)境下,結(jié)合xilinx公司的ISE設(shè)計(jì)軟件,在Altium Designer的創(chuàng)新電子設(shè)計(jì)平臺(tái)NanoBoard 3000上,設(shè)計(jì)實(shí)現(xiàn)了基于Altium Designer特有的系統(tǒng)級(jí)設(shè)計(jì)方法OpenBus系統(tǒng)的32位處理器控制LED的FPGA嵌入式設(shè)計(jì)。
標(biāo)簽: OpenBus FPGA 嵌入式設(shè)計(jì)
上傳時(shí)間: 2013-11-09
上傳用戶:亞亞娟娟123
MAX8686 25A Buck穩(wěn)壓器
標(biāo)簽: xilinx Maxim FPGA 參考設(shè)計(jì)
上傳時(shí)間: 2013-11-15
上傳用戶:旭521
目的是利用嵌入在xilinx FPGA中的MicroBlaze核實(shí)現(xiàn)基于AXI總線的雙核嵌入式系統(tǒng)設(shè)計(jì)以及共享實(shí)現(xiàn)LED燈的時(shí)控.
標(biāo)簽: MicroBlaze SoPC AXI 總線
上傳時(shí)間: 2014-12-30
上傳用戶:stewart·
This is the xilinx Dual Processor Reference Designs suite. The designs illustrate a few differentdual-core architectures based on the MicroBlaze™ and PowerPC™ processors. The designsillustrate various concepts described in the xilinx White Paper WP262 titled, “DesigningMultiprocessor Systems in Platform Studio”. There are simple software applications includedwith the reference designs that show various forms of interaction between the two processors.
標(biāo)簽: XAPP 996 雙處理器 參考設(shè)計(jì)
上傳時(shí)間: 2013-10-29
上傳用戶:旭521
PCI Express是由Intel,Dell,Compaq,IBM,Microsoft等PCI SIG聯(lián)合成立的Arapahoe Work Group共同草擬并推舉成取代PCI總線標(biāo)準(zhǔn)的下一代標(biāo)準(zhǔn)。PCI Express利用串行的連接特點(diǎn)能輕松將數(shù)據(jù)傳輸速度提到一個(gè)很高的頻率,達(dá)到遠(yuǎn)遠(yuǎn)超出PCI總線的傳輸速率。一個(gè)PCI Express連接可以被配置成x1,x2,x4,x8,x12,x16和x32的數(shù)據(jù)帶寬。x1的通道能實(shí)現(xiàn)單向312.5 MB/s(2.5 Gb/s)的傳輸速率。xilinx公司的Virtex5系列FPGA芯片內(nèi)嵌PCI-ExpressEndpoint Block硬核,為實(shí)現(xiàn)單片可配置PCI-Express總線解決方案提供了可能。 本文在研究PCI-Express接口協(xié)議和PCI-Express Endpoint Block硬核的基礎(chǔ)上,使用Virtex5LXT50 FPGA芯片設(shè)計(jì)PCI Express接口硬件電路,實(shí)現(xiàn)PCI-Express數(shù)據(jù)傳輸
上傳時(shí)間: 2013-12-27
上傳用戶:wtrl
《無(wú)線通信FPGA設(shè)計(jì)》以xilinx公司的FPGA開(kāi)發(fā)平臺(tái)為基礎(chǔ),綜合FPGA和無(wú)線通信技術(shù)兩個(gè)方向,通過(guò)大量的FPGA開(kāi)發(fā)實(shí)例,較為詳盡地描述了無(wú)線通信中常用模塊的原理和實(shí)現(xiàn)流程,包括數(shù)字信號(hào)處理基礎(chǔ)、數(shù)字濾波器、多速率信號(hào)處理、數(shù)字調(diào)制與解調(diào)、信道編碼、系統(tǒng)同步、自適應(yīng)濾波算法、最佳接收機(jī),以及WCDMA系統(tǒng)的關(guān)鍵技術(shù)。
上傳時(shí)間: 2014-01-23
上傳用戶:kernaling
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is Port ( clk : in std_logic; resetn : in std_logic; dout : out std_logic_vector(7 downto 0); lcd_en : out std_logic; lcd_rs : out std_logic; lcd_rw : out std_logic); end counter;
標(biāo)簽: lcd 計(jì)數(shù)顯示 程序
上傳時(shí)間: 2013-10-30
上傳用戶:wqxstar
IP核生成文件:(xilinx/Altera 同) IP核生成器生成 ip 后有兩個(gè)文件對(duì)我們比較有用,假設(shè)生成了一個(gè) asyn_fifo 的核,則asyn_fifo.veo 給出了例化該核方式(或者在 Edit-》Language Template-》COREGEN 中找到verilog/VHDL 的例化方式)。asyn_fifo.v 是該核的行為模型,主要調(diào)用了 xilinx 行為模型庫(kù)的模塊,仿真時(shí)該文件也要加入工程。(在 ISE中點(diǎn)中該核,在對(duì)應(yīng)的 processes 窗口中運(yùn)行“ View Verilog Functional Model ”即可查看該 .v 文件)。如下圖所示。
標(biāo)簽: modelsim 仿真 IP核 仿真庫(kù)
上傳時(shí)間: 2013-10-20
上傳用戶:lingfei
蟲(chóng)蟲(chóng)下載站版權(quán)所有 京ICP備2021023401號(hào)-1