This is the Xilinx Dual Processor Reference Designs suite. The designs illustrate a few differentdual-core architectures based on the MicroBlaze™ and PowerPC™ processors. The designsillustrate various concepts described in the Xilinx White Paper WP262 titled, “DesigningMultiprocessor Systems in Platform Studio”. There are simple software applications includedwith the reference designs that show various forms of interaction between the two processors.
上傳時間: 2013-10-29
上傳用戶:旭521
PCI Express是由Intel,Dell,Compaq,IBM,Microsoft等PCI SIG聯合成立的Arapahoe Work Group共同草擬并推舉成取代PCI總線標準的下一代標準。PCI Express利用串行的連接特點能輕松將數據傳輸速度提到一個很高的頻率,達到遠遠超出PCI總線的傳輸速率。一個PCI Express連接可以被配置成x1,x2,x4,x8,x12,x16和x32的數據帶寬。x1的通道能實現單向312.5 MB/s(2.5 Gb/s)的傳輸速率。Xilinx公司的Virtex5系列FPGA芯片內嵌PCI-ExpressEndpoint Block硬核,為實現單片可配置PCI-Express總線解決方案提供了可能。 本文在研究PCI-Express接口協議和PCI-Express Endpoint Block硬核的基礎上,使用Virtex5LXT50 FPGA芯片設計PCI Express接口硬件電路,實現PCI-Express數據傳輸
上傳時間: 2013-12-27
上傳用戶:wtrl
《無線通信FPGA設計》以Xilinx公司的FPGA開發平臺為基礎,綜合FPGA和無線通信技術兩個方向,通過大量的FPGA開發實例,較為詳盡地描述了無線通信中常用模塊的原理和實現流程,包括數字信號處理基礎、數字濾波器、多速率信號處理、數字調制與解調、信道編碼、系統同步、自適應濾波算法、最佳接收機,以及WCDMA系統的關鍵技術。
上傳時間: 2014-01-23
上傳用戶:kernaling
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is Port ( clk : in std_logic; resetn : in std_logic; dout : out std_logic_vector(7 downto 0); lcd_en : out std_logic; lcd_rs : out std_logic; lcd_rw : out std_logic); end counter;
上傳時間: 2013-10-30
上傳用戶:wqxstar
IP核生成文件:(Xilinx/Altera 同) IP核生成器生成 ip 后有兩個文件對我們比較有用,假設生成了一個 asyn_fifo 的核,則asyn_fifo.veo 給出了例化該核方式(或者在 Edit-》Language Template-》COREGEN 中找到verilog/VHDL 的例化方式)。asyn_fifo.v 是該核的行為模型,主要調用了 xilinx 行為模型庫的模塊,仿真時該文件也要加入工程。(在 ISE中點中該核,在對應的 processes 窗口中運行“ View Verilog Functional Model ”即可查看該 .v 文件)。如下圖所示。
上傳時間: 2013-10-20
上傳用戶:lingfei
我近期計劃陸續整理出以下幾個方面的學習筆記:初學 ModelSimSE 時被迷糊了幾天的若干概念;在 ModelSimSE 中添加 ALTERA 仿真庫的詳細步驟;用 ModelSimSE 進行功能仿真和時序仿真的方法(ALTERA 篇);ModelSimSE 中常用到的幾個命令及 DO文件的學習筆記;近來學到的幾招 TestBench 的技巧
上傳時間: 2013-11-05
上傳用戶:lou45566
頻譜分析儀的主要工作原理 接收到的中頻模擬信號經過A/D轉換為14位的數字信 號,首先對數字信號進行數字下變頻(DDC),得到I路、Q路信號,然后根據控制信號對I路、Q路信號進行抽取濾波,使用CIC抽取濾波器完成,然后在分 別對I路、Q路信號分別進行低通濾波,濾波器采用FIR濾波器和半帶濾波器相結合的方式,然后對信號進行加窗、FFT(對頻譜進行分析時進行FFT運算, 對功率譜進行分析時不進行FFT運算)、I路和Q路平方求和、求平均。最后將輸出的數據送入到DSP中進行顯示與控制的后續處理。
上傳時間: 2013-11-14
上傳用戶:leixinzhuo
上傳時間: 2013-10-22
上傳用戶:rlgl123
本文著重介紹了 Xilinx Platform Flash PROM 如何幫助系統和電路板設計人員簡化 FPGA 配置設計。用于配置 FPGA 的可選解決方案有很多,但它們通常都需要大量的前期設計工作和時間。Platform Flash 是為配置 Xilinx FPGA 專門設計的一款包括硬件和軟件支持在內的整體解決方案。
上傳時間: 2014-01-09
上傳用戶:時代電子小智
There has long been a need for portable ultrasoundsystems that have good resolution at affordable costpoints. Portable systems enable healthcare providersto use ultrasound in remote locations such asdisaster zones, developing regions, and battlefields,where it was not previously practical to do so.
上傳時間: 2015-01-01
上傳用戶:hfnishi