亚洲欧美第一页_禁久久精品乱码_粉嫩av一区二区三区免费野_久草精品视频

蟲蟲首頁| 資源下載| 資源專輯| 精品軟件
登錄| 注冊

CLK

  • 微型計算機課程設計論文—通用微機發聲程序的匯編設計

    微型計算機課程設計論文—通用微機發聲程序的匯編設計 本文講述了在微型計算機中利用可編程時間間隔定時器的通用發聲程序設計,重點講述了程序的發聲原理,節拍的產生,按節拍改變的動畫程序原理,并以設計一個簡單的樂曲評分程序為引子,分析程序設計的細節。關鍵字:微機 8253 通用發聲程序 動畫技術 直接寫屏 1. 可編程時間間隔定時器8253在通用個人計算機中,有一個可編程時間間隔定時器8253,它能夠根據程序提供的計數值和工作方式,產生各種形狀和各種頻率的計數/定時脈沖,提供給系統各個部件使用。本設計是利用計算機控制發聲的原理,編寫演奏樂曲的程序。    在8253/54定時器內部有3個獨立工作的計數器:計數器0,計數器1和計數器2,每個計數器都分配有一個斷口地址,分別為40H,41H和42H.8253/54內部還有一個公用的控制寄存器,端地址為43H.端口地址輸入到8253/54的CS,AL,A0端,分別對3個計數器和控制器尋址.     對8353/54編程時,先要設定控制字,以選擇計數器,確定工作方式和計數值的格式.每計數器由三個引腳與外部聯系,見教材第320頁圖9-1.CLK為時鐘輸入端,GATE為門控信號輸入端,OUT為計數/定時信號輸入端.每個計數器中包含一個16位計數寄存器,這個計數器時以倒計數的方式計數的,也就是說,從計數初值逐次減1,直到減為0為止.     8253/54的三個計數器是分別編程的,在對任一個計數器編程時,必須首先講控制字節寫入控制寄存器.控制字的作用是告訴8253/54選擇哪個計數器工作,要求輸出什么樣的脈沖波形.另外,對8253/54的初始化工作還包括,向選定的計數器輸入一個計數初值,因為這個計數值可以是8為的,也可以是16為的,而8253/5的數據總線是8位的,所以要用兩條輸出指令來寫入初值.下面給出8253/54初始化程序段的一個例子,將計數器2設定為方式3,(關于計數器的工作方式參閱教材第325—330頁)計數初值為65536.    MOV   AL,10110110B ;選擇計數器2,按方式3工作,計數值是二進制格式    OUT   43H,AL      ; j將控制字送入控制寄存器    MOV   AL,0        ;計數初值為0    OUT   42H,AL      ;將計數初值的低字節送入計數器2    OUT   42H,AL      ;將計數初值的高字節送入計數器2    在IBM PC中8253/54的三個時鐘端CLK0,CLK1和CLK2的輸入頻率都是1.1931817MHZ. PC機上的大多數I/O都是由主板上的8255(或8255A)可編程序外圍接口芯片(PPI)管理的.關于8255A的結構和工作原理及應用舉例參閱教材第340—373頁.教材第364頁的”PC/XT機中的揚聲器接口電路”一節介紹了揚聲器的驅動原理,并給出了通用發聲程序.本設計正是基于這個原理,通過編程,控制加到揚聲器上的信號的頻率,奏出樂曲的.2.發聲程序的設計下面是能產生頻率為f的通用發聲程序:MOV      AL, 10110110B   ;8253控制字:通道2,先寫低字節,后寫高字節        ;方式3,二進制計數OUT      43H, AL                  ;寫入控制字MOV      DX, 0012H               ;被除數高位MOV      AX, 35DEH              ;被除數低位 DIV      ID      ;求計數初值n,結果在AX中OUT      42H, AL     ;送出低8位MOV      AL, AHOUT      42H,AL     ;送出高8位IN      AL, 61H     ;讀入8255A端口B的內容MOV      AH, AL                  ;保護B口的原狀態OR  AL, 03H     ;使B口后兩位置1,其余位保留OUT 61H,AL     ;接通揚聲器,使它發聲

    標簽: 微型計算機 發聲程序 論文 微機

    上傳時間: 2013-10-17

    上傳用戶:sunjet

  • 微型計算機總線知識

    計算機部件要具有通用性,適應不同系統與不同用戶的需求,設計必須模塊化。計算機部件產品(模塊)供應出現多元化。模塊之間的聯接關系要標準化,使模塊具有通用性。模塊設計必須基于一種大多數廠商認可的模塊聯接關系,即一種總線標準。總線的標準總線是一類信號線的集合是模塊間傳輸信息的公共通道,通過它,計算機各部件間可進行各種數據和命令的傳送。為使不同供應商的產品間能夠互換,給用戶更多的選擇,總線的技術規范要標準化??偩€的標準制定要經周密考慮,要有嚴格的規定??偩€標準(技術規范)包括以下幾部分:機械結構規范:模塊尺寸、總線插頭、總線接插件以及按裝尺寸均有統一規定。功能規范:總線每條信號線(引腳的名稱)、功能以及工作過程要有統一規定。電氣規范:總線每條信號線的有效電平、動態轉換時間、負載能力等??偩€的發展情況S-100總線:產生于1975年,第一個標準化總線,為微計算機技術發展起到了推動作用。IBM-PC個人計算機采用總線結構(Industry Standard Architecture, ISA)并成為工業化的標準。先后出現8位ISA總線、16位ISA總線以及后來兼容廠商推出的EISA(Extended ISA)32位ISA總線。為了適應微處理器性能的提高及I/O模塊更高吞吐率的要求,出現了VL-Bus(VESA Local Bus)和PCI(Peripheral Component Interconnect,PCI)總線。適合小型化要求的PCMCIA(Personal Computer Memory Card International Association)總線,用于筆記本計算機的功能擴展??偩€的指標計算機主機性能迅速提高,各功能模塊性能也要相應提高,這對總線性能提出更高的要求??偩€主要技術指標有幾方面:總線寬度:一次操作可以傳輸的數據位數,如S100為8位,ISA為16位,EISA為32位,PCI-2可達64位??偩€寬度不會超過微處理器外部數據總線的寬度??倲倒ぷ黝l率:總線信號中有一個CLK時鐘,CLK越高每秒鐘傳輸的數據量越大。ISA、EISA為8MHz,PCI為33.3MHz, PCI-2可達達66.6MHz。單個數據傳輸周期:不同的傳輸方式,每個數據傳輸所用CLK周期數不同。ISA要2個,PCI用1個CLK周期。這決定總線最高數據傳輸率。5. 總線的分類與層次系統總線:是微處理器芯片對外引線信號的延伸或映射,是微處理器與片外存儲器及I/0接口傳輸信息的通路。系統總線信號按功能可分為三類:地址總線(Where):指出數據的來源與去向。地址總線的位數決定了存儲空間的大小。系統總線:數據總線(What)提供模塊間傳輸數據的路徑,數據總線的位數決定微處理器結構的復雜度及總體性能??刂瓶偩€(When):提供系統操作所必需的控制信號,對操作過程進行控制與定時。擴充總線:亦稱設備總線,用于系統I/O擴充。與系統總線工作頻率不同,經接口電路對系統總統信號緩沖、變換、隔離,進行不同層次的操作(ISA、EISA、MCA)局部總線:擴充總線不能滿足高性能設備(圖形、視頻、網絡)接口的要求,在系統總線與擴充總線之間插入一層總線。由于它經橋接器與系統總線直接相連,因此稱之為局部總線(PCI)。

    標簽: 微型計算機 總線

    上傳時間: 2013-11-09

    上傳用戶:nshark

  • 各種功能的計數器實例(VHDL源代碼)

    各種功能的計數器實例(VHDL源代碼):ENTITY counters IS  PORT  (   d  : IN  INTEGER RANGE 0 TO 255;   CLK  : IN BIT;   clear : IN BIT;   ld  : IN BIT;   enable : IN BIT;   up_down : IN BIT;   qa  : OUT  INTEGER RANGE 0 TO 255;   qb  : OUT  INTEGER RANGE 0 TO 255;   qc  : OUT  INTEGER RANGE 0 TO 255;   qd  : OUT  INTEGER RANGE 0 TO 255;   qe  : OUT  INTEGER RANGE 0 TO 255;   qf  : OUT  INTEGER RANGE 0 TO 255;   qg  : OUT  INTEGER RANGE 0 TO 255;   qh  : OUT  INTEGER RANGE 0 TO 255;   qi  : OUT  INTEGER RANGE 0 TO 255;

    標簽: VHDL 計數器 源代碼

    上傳時間: 2014-11-30

    上傳用戶:半熟1994

  • lcd計數顯示程序

    library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is     Port ( CLK : in std_logic;      resetn : in std_logic;            dout : out std_logic_vector(7 downto 0);            lcd_en : out std_logic;            lcd_rs : out std_logic;            lcd_rw   : out std_logic); end counter;

    標簽: lcd 計數顯示 程序

    上傳時間: 2013-10-30

    上傳用戶:wqxstar

  • 飛思卡爾智能車的舵機測試程序

    飛思卡爾智能車的舵機測試程序 #include <hidef.h>      /* common defines and macros */#include <MC9S12XS128.h>     /* derivative information */#pragma LINK_INFO DERIVATIVE "mc9s12xs128" void SetBusCLK_16M(void)             {       CLKSEL=0X00;        PLLCTL_PLLON=1;          //鎖相環電路允許位    SYNR=0x00 | 0x01;        //SYNR=1    REFDV=0x80 | 0x01;          POSTDIV=0x00;            _asm(nop);              _asm(nop);    while(!(CRGFLG_LOCK==1));       CLKSEL_PLLSEL =1;          } void PWM_01(void) {     //舵機初始化   PWMCTL_CON01=1;    //0和1聯合成16位PWM;    PWMCAE_CAE1=0;    //選擇輸出模式為左對齊輸出模式    PWMCNT01 = 0;     //計數器清零;    PWMPOL_PPOL1=1;    //先輸出高電平,計數到DTY時,反轉電平    PWMPRCLK = 0X40;    //clockA 不分頻,clockA=busclock=16MHz;CLK B 16分頻:1Mhz     PWMSCLA = 0x08;    //對clock SA 16分頻,pwm clock=clockA/16=1MHz;         PWMCLK_PCLK1 = 1;   //選擇clock SA做時鐘源    PWMPER01 = 20000;   //周期20ms; 50Hz;    PWMDTY01 = 1500;   //高電平時間為1.5ms;     PWME_PWME1 = 1;   

    標簽: 飛思卡爾智能車 舵機 測試程序

    上傳時間: 2013-11-04

    上傳用戶:狗日的日子

  • ch451數碼管驅動實例程序

    CH451 使用一個系統時鐘信號來同步芯片內部的各個功能部件,例如,當系統時鐘信號的頻率變高時,顯示驅動刷新將變快、按鍵響應時間將變短、上電復位信號的寬度將變窄、看門狗周期也將變短。一般情況下,CH451 的系統時鐘信號是由內置的阻容振蕩提供的,這樣就不再需要任何外圍電路,但內置RC 振蕩的頻率受電源電壓的影響較大,當電源電壓降低時,系統時鐘信號的頻率也隨之降低。在某些實際應用中,可能希望CH451 提供更長或者更短的顯示刷新周期、按鍵響應時間等,這時就需要調節系統時鐘信號的頻率。CH451 提供了CLK 引腳,用于外接阻容振蕩。當在CLK 引腳與地GND 之間跨接電容后,系統時鐘信號的頻率將變低;當在CLK 引腳與正電源VCC 之間跨接電阻后,系統時鐘信號的頻率將變高。因為CH451 的系統時鐘信號被用于芯片內部的所有功能部件,所以其頻率不宜進行大幅度的調節,一般情況下,跨接電容的容量在5pF 至100pF 之間,跨接電阻的阻值在20KΩ至500KΩ之間??缃右粋€47pF 的電容則頻率降低為一半,跨接一個47KΩ的電阻則頻率升高為兩倍。另外,CH451 的CLK 引腳可以直接輸入外部的系統時鐘信號,但外部電路的驅動能力不能小于±2mA。CH451 在CLKO 引腳提供了系統時鐘信號的二分頻輸出,對于一些不要求精確定時的實際應用,可以由CLKO 引腳向單片機提供時鐘信號,簡化外圍電路。 單片機接口程序下面提供了U1(MCS-51 單片機)與U2(CH451)的接口程序,供參考。;**********************;需要主程序定義的參數CH451_DCLK BIT P1.7 ;串行數據時鐘,上升沿激活CH451_DIN BIT P1.6 ;串行數據輸出,接CH451 的數據輸入CH451_LOAD BIT P1.5 ;串行命令加載,上升沿激活CH451_DOUT BIT P3.2 ;INT0,鍵盤中斷和鍵值數據輸入,接CH451 的數據輸出CH451_KEY DATA 7FH ;存放鍵盤中斷中讀取的鍵值

    標簽: 451 ch 數碼管 實例程序

    上傳時間: 2013-11-22

    上傳用戶:671145514

  • Xilinx FPGA集成電路的動態老化試驗

      3 FPGA設計流程   完整的FPGA 設計流程包括邏輯電路設計輸入、功能仿真、綜合及時序分析、實現、加載配置、調試。FPGA 配置就是將特定的應用程序設計按FPGA設計流程轉化為數據位流加載到FPGA 的內部存儲器中,實現特定邏輯功能的過程。由于FPGA 電路的內部存儲器都是基于RAM 工藝的,所以當FPGA電路電源掉電后,內部存儲器中已加載的位流數據將隨之丟失。所以,通常將設計完成的FPGA 位流數據存于外部存儲器中,每次上電自動進行FPGA電路配置加載。   4 FPGA配置原理    以Xilinx公司的Qpro Virtex Hi-Rel系列XQV100電路為例,FPGA的配置模式有四種方案可選擇:MasterSerial Mode,Slave Serial Mode,Master selectMAPMode,Slave selectMAP Mode。配置是通過芯片上的一組專/ 復用引腳信號完成的,主要配置功能信號如下:   (1)M0、M1、M2:下載配置模式選擇;   (2)CLK:配置時鐘信號;   (3)DONE:顯示配置狀態、控制器件啟動;

    標簽: Xilinx FPGA 集成電路 動態老化

    上傳時間: 2013-11-18

    上傳用戶:oojj

  • XAPP953-二維列序濾波器的實現

      This application note describes the implementation of a two-dimensional Rank Order filter. Thereference design includes the RTL VHDL implementation of an efficient sorting algorithm. Thedesign is parameterizable for input/output precision, color standards, filter kernel size,maximum horizontal resolution, and implementation options. The rank to be selected can bemodified dynamically, and the actual horizontal resolution is picked up automatically from theinput synchronization signals. The design has a fully synchronous interface through the ce, CLK,and rst ports.

    標簽: XAPP 953 二維 濾波器

    上傳時間: 2013-12-14

    上傳用戶:逗逗666

  • 各種功能的計數器實例(VHDL源代碼)

    各種功能的計數器實例(VHDL源代碼):ENTITY counters IS  PORT  (   d  : IN  INTEGER RANGE 0 TO 255;   CLK  : IN BIT;   clear : IN BIT;   ld  : IN BIT;   enable : IN BIT;   up_down : IN BIT;   qa  : OUT  INTEGER RANGE 0 TO 255;   qb  : OUT  INTEGER RANGE 0 TO 255;   qc  : OUT  INTEGER RANGE 0 TO 255;   qd  : OUT  INTEGER RANGE 0 TO 255;   qe  : OUT  INTEGER RANGE 0 TO 255;   qf  : OUT  INTEGER RANGE 0 TO 255;   qg  : OUT  INTEGER RANGE 0 TO 255;   qh  : OUT  INTEGER RANGE 0 TO 255;   qi  : OUT  INTEGER RANGE 0 TO 255;

    標簽: VHDL 計數器 源代碼

    上傳時間: 2013-10-09

    上傳用戶:松毓336

  • 最簡單的20鍵PS/2鍵值讀取程序

    最簡單的20鍵PS/2鍵值讀取程序,PS/2的CLK接INT0,data接P1_0

    標簽: PS 讀取 程序

    上傳時間: 2015-03-16

    上傳用戶:ayfeixiao

主站蜘蛛池模板: 邵武市| 南郑县| 新昌县| 抚松县| 唐海县| 临澧县| 黑龙江省| 尉犁县| 榆中县| 龙口市| 常宁市| 上犹县| 越西县| 双城市| 宜昌市| 镇远县| 大关县| 栾城县| 通榆县| 正定县| 巴塘县| 仁化县| 凤翔县| 竹北市| 忻州市| 龙川县| 顺义区| 彩票| 台中市| 松滋市| 五家渠市| 建瓯市| 桃源县| 太谷县| 郯城县| 渝中区| 中江县| 张北县| 吉林市| 会同县| 静海县|